LFXP2-5E-5FTN256I Lattice, LFXP2-5E-5FTN256I Datasheet - Page 116

FPGA - Field Programmable Gate Array 5K LUTs 172 I/O Inst on DSP 1.2V -5 Spd

LFXP2-5E-5FTN256I

Manufacturer Part Number
LFXP2-5E-5FTN256I
Description
FPGA - Field Programmable Gate Array 5K LUTs 172 I/O Inst on DSP 1.2V -5 Spd
Manufacturer
Lattice
Datasheet

Specifications of LFXP2-5E-5FTN256I

Number Of Macrocells
5000
Number Of Programmable I/os
172
Data Ram Size
169984
Supply Voltage (max)
1.26 V
Maximum Operating Temperature
+ 100 C
Minimum Operating Temperature
- 40 C
Mounting Style
SMD/SMT
Supply Voltage (min)
1.14 V
Package / Case
FTBGA-256
Number Of Logic Elements/cells
*
Number Of Labs/clbs
*
Total Ram Bits
169984
Number Of I /o
172
Number Of Gates
-
Voltage - Supply
1.14 V ~ 1.26 V
Mounting Type
*
Operating Temperature
-40°C ~ 100°C
Lead Free Status / RoHS Status
Lead free / RoHS Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
LFXP2-5E-5FTN256I
Manufacturer:
Lattice
Quantity:
135
Part Number:
LFXP2-5E-5FTN256I
Manufacturer:
LATTICE
Quantity:
23
Part Number:
LFXP2-5E-5FTN256I
Manufacturer:
Lattice Semiconductor Corporation
Quantity:
10 000
Part Number:
LFXP2-5E-5FTN256I
Manufacturer:
LATTICE
Quantity:
20 000
Lattice Semiconductor
Appendix A. HDL Attributes for Synplicity
Using these HDL attributes, designers can assign the sysIO attributes directly in their source. The attribute defini-
tion and syntax for the appropriate synthesis vendor must be used. Below are a list of all the sysIO attributes, syn-
tax and examples for Precision RTL Synthesis and Synplicity. This section only lists the sysIO buffer attributes for
these devices. These attributes are available through the ispLEVER software Help system.
VHDL Synplicity/Precision RTL Synthesis
This section lists syntax and examples for all the sysIO Attributes in VHDL when using the Precision RTL Synthesis
or Synplicity synthesis tools.
Syntax
Table 8-12. VHDL Attribute Syntax for Synplicity and Precision RTL Synthesis
Examples
IO_TYPE
OPENDRAIN
--***Attribute Declaration***
ATTRIBUTE IO_TYPE: string;
--***IO_TYPE assignment for I/O Pin***
ATTRIBUTE IO_TYPE OF portA:
ATTRIBUTE IO_TYPE OF portB:
ATTRIBUTE IO_TYPE OF portC:
--***Attribute Declaration***
ATTRIBUTE OPENDRAIN: string;
--***DRIVE assignment for I/O Pin***
ATTRIBUTE OPENDRAIN OF portB: SIGNAL IS “ON”;
IO_TYPE
OPENDRAIN
DRIVE
PULLMODE
PCICLAMP
SLEWRATE
FIXEDDELAY
DIN
DOUT
LOC
Attribute
attribute IO_TYPE: string;
attribute IO_TYPE of Pinname: signal is “IO_TYPE Value”;
attribute OPENDRAIN: string;
attribute OPENDRAIN of Pinname: signal is “OpenDrain Value”;
attribute DRIVE: string;
attribute DRIVE of Pinname: signal is “Drive Value”;
attribute PULLMODE: string;
attribute PULLMODE of Pinname: signal is “Pullmode Value”;
attribute PCICLAMP: string;
attribute PCICLAMP of Pinname: signal is “PCIClamp Value”;
attribute PULLMODE: string;
attribute PULLMODE of Pinname: signal is “Slewrate Value”;
attribute FIXEDDELAY: string;
attribute FIXEDDELAY of Pinname: signal is “Fixeddelay Value”;
attribute DIN: string;
attribute DIN of Pinname: signal is “ ”;
attribute DOUT: string;
attribute DOUT of Pinname: signal is “ ”;
attribute LOC: string;
attribute LOC of Pinname: signal is “pin_locations”;
SIGNAL IS “PCI33”;
SIGNAL IS “LVCMOS33”;
SIGNAL IS “LVDS25”;
8-12
®
Syntax
and Precision
LatticeXP2 sysIO Usage Guide
®
RTL Synthesis

Related parts for LFXP2-5E-5FTN256I