IPR-HPMCII Altera, IPR-HPMCII Datasheet - Page 21

IP CORE Renewal Of IP-HPMCII

IPR-HPMCII

Manufacturer Part Number
IPR-HPMCII
Description
IP CORE Renewal Of IP-HPMCII
Manufacturer
Altera
Datasheet

Specifications of IPR-HPMCII

Software Application
IP CORE, Memory Controllers, SDRAM
Supported Families
Arria II GX, HardCopy III, Stratix III, Stratix IV
Core Architecture
FPGA
Core Sub-architecture
Arria, HardCopy, Stratix
Rohs Compliant
NA
Lead Free Status / RoHS Status
na
Chapter 2: Getting Started
MegaWizard Plug-In Manager Flow
December 2010 Altera Corporation
5. On the Summary tab, select the files you want to generate. A gray checkmark
6. Click Finish to generate the MegaCore function and supporting files. A generation
7. If you generate the MegaCore function instance in a Quartus II project, you are
8. After you review the generation report, click Exit to close the MegaWizard Plug-In
9. For the high-performance controller (HPC or HPC II), set the <variation
c
1
indicates a file that is automatically generated. All other files are optional.
report appears.
prompted to add the .qip files to the current Quartus II project. When prompted to
add the .qip files to your project, click Yes. The addition of the .qip files enables
their visibility to Nativelink. Nativelink requires the .qip files to include libraries
for simulation.
1
Manager.
name>_example_top.v or .vhd file to be the project top-level design file.
a. On the File menu, click Open.
b. Browse to <variation name>_example_top and click Open.
c. On the Project menu, click Set as Top-Level Entity.
Use the simulation models only for simulation and not for synthesis or any
other purposes. Using these models for synthesis creates a nonfunctional
design.
Some third-party synthesis tools can use a netlist that contains only the
structure of the MegaCore function, but not detailed logic, to optimize
performance of the design that contains the MegaCore function. If your
synthesis tool supports this feature, turn on Generate netlist.
When targeting a VHDL simulation model, the MegaWizard Plug-In
Manager still generates the <variation_name>_alt_mem_phy.v file for the
Quartus II synthesis. Do not use this file for simulation. Use the
<variation_name>.vho file for simulation instead.
The ALTMEMPHY megafunction only supports functional simulation. You
cannot perform timing or gate-level simulation when using the
ALTMEMPHY megafunction.
The .qip file is generated by the parameter editor, and contains information
about the generated IP core. In most cases, the .qip file contains all of the
necessary assignments and information required to process the MegaCore
function or system in the Quartus II compiler. The parameter editor
generates a single .qip file for each MegaCore function.
Section II. DDR3 SDRAM Controller with ALTMEMPHY IP User Guide
External Memory Interface Handbook Volume 3
2–5

Related parts for IPR-HPMCII