EP4CE40F29C8N Altera, EP4CE40F29C8N Datasheet - Page 161

no-image

EP4CE40F29C8N

Manufacturer Part Number
EP4CE40F29C8N
Description
IC CYCLONE IV FPGA 40K 780FBGA
Manufacturer
Altera
Series
CYCLONE® IV Er

Specifications of EP4CE40F29C8N

Number Of Logic Elements/cells
39600
Number Of Labs/clbs
2475
Total Ram Bits
1134000
Number Of I /o
532
Voltage - Supply
1.15 V ~ 1.25 V
Mounting Type
Surface Mount
Operating Temperature
0°C ~ 85°C
Package / Case
780-FBGA
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Number Of Gates
-

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
EP4CE40F29C8N
Manufacturer:
ALTERA43
Quantity:
1 602
Part Number:
EP4CE40F29C8N
Manufacturer:
Altera
Quantity:
10 000
Part Number:
EP4CE40F29C8N
Manufacturer:
ALTERA
0
Part Number:
EP4CE40F29C8N
Manufacturer:
ALTERA
0
Part Number:
EP4CE40F29C8N
Manufacturer:
ALTERA/阿尔特拉
Quantity:
20 000
Part Number:
EP4CE40F29C8N
0
Company:
Part Number:
EP4CE40F29C8N
Quantity:
2 800
Part Number:
EP4CE40F29C8N ALTERA
Manufacturer:
ALTERA
0
Chapter 7: External Memory Interfaces in Cyclone IV Devices
Cyclone IV Devices Memory Interfaces Features
DDR Output Registers
Figure 7–8. Cyclone IV Dedicated Write DDIO
© December 2010 Altera Corporation
f
-90° Shifted Clock
A dedicated write DDIO block is implemented in the DDR output and output enable
paths.
Figure 7–8
the I/O element (IOE) registers.
The two DDR output registers are located in the I/O element (IOE) block. Two serial
data streams routed through datain_l and datain_h, are fed into two registers,
output register Ao and output register Bo, respectively, on the same clock
edge. The output from output register Ao is captured on the falling edge of the
clock, while the output from output register Bo is captured on the rising edge of
the clock. The registered outputs are multiplexed by the common clock to drive the
DDR output pin at twice the data rate.
The DDR output enable path has a similar structure to the DDR output path in the
IOE block. The second output enable register provides the write preamble for the DQS
strobe in DDR external memory interfaces. This active-low output enable register
extends the high-impedance state of the pin by half a clock cycle to provide the
external memory’s DQS write preamble time specification.
For more information about Cyclone IV IOE registers, refer to the
I/O Features
Output Enable
datain_h
datain_l
shows how a Cyclone IV dedicated write DDIO block is implemented in
chapter.
Output Register A
Output Register B
Output Enable
Register A
Output Enable
Register B
Register
Register
Register
Register
IOE
IOE
IOE
IOE
DDR Output Enable Registers
DDR Output Registers
OE
OE
O
O
data0
data1
data1
data0
®
Cyclone IV Device Handbook, Volume 1
DQ or DQS
Cyclone IV Device
7–13

Related parts for EP4CE40F29C8N