UPD78F0838 Renesas Electronics Corporation., UPD78F0838 Datasheet - Page 46

no-image

UPD78F0838

Manufacturer Part Number
UPD78F0838
Description
8-bit Single-chip Microcontrollers
Manufacturer
Renesas Electronics Corporation.
Datasheet
44
P70
P71
P72
P73
P74
P75
P76
P77
P80 to P82
P83
P84 to P86
P87
P90 to P92
P93
P94 to P96
P97
P120
P121
P122
P123
P124
Notes 1.
Remarks 1. Ext. (external reset): POC reset or pin reset, Int. (internal reset): WDT reset or LVI reset,
Pin Name
2. For PD78F0842, 78F0843, 78F0848, and 78F0849.
3. For PD78F0838 to 78F0841, 78F0846, and 78F0847.
4. For PD78F0838 and 78F0839.
5. For PD78F0840, 78F0841, 78F0842, 78F0843, 78F0846, 78F0847, 78F0848, and 78F0849.
2. The functions within arrowheads (<>) can be assigned by setting the input switch control register
PD78F0846, 78F0847, 78F0848, and 78F0849 only.
PD: Pull down, HZ: High impedance
(ISC).
I/O
I/O
I/O
I/O
I/O
Port 7.
8-bit I/O port.
Input/output can be specified in 1-bit units.
Use of an on-chip pull-up resistor can be
specified by a software setting.
Port 8
8-bit I/O port.
Input/output can be specified in 1-bit units.
Port 9
8-bit I/O port.
Input/output can be specified in 1-bit units.
Port 12.
5-bit I/O port.
Only for P120, use of an on-chip pull-up resistor
can be specified by a software setting.
Table 2-3. Port Pins for 78K0/DF2 (2/2)
Preliminary User’s Manual U19748EJ1V0UD
CHAPTER 2 PIN FUNCTIONS
Function
HZ
Ext.: PD
Int.: HZ
Ext.: PD
Int.: HZ
Ext.: PD
Int.: HZ
HZ
During
Reset
Input
Input
Input
Input
Reset
After
CRxD
<RXD60/INTPR60>
CTxD
SGOA/PCL
SGO/SGOF/BUZ
SEG24
SEG25
SEG26
SEG27
<TIOP20>
SEG24 to SEG26
SM11 to SM13
SEG27
SM14/ZPD14
SEG28 to SEG30
SM21 to SM23
SEG31
SM24/ZPD24
SEG32 to SEG34
SM31 to SM33
SEG35
SM34/ZPD34
SEG36 to SEG38
SM41 to SM43
SEG39
SM44/ZPD44
EXLVI
X1/OCD0A
X2/EXCLK/OCD0B
XT1
XT2/EXCLKS
Alternate Function
Note1
Note1
Note2
Note2
Note2
Note2
Note3
Note3
Note4
Note4
/<TxD60>
/
/SCK11
/SI11
/SO11
/SSI11/
Note2
Note2
Note5
Note5
Note2
Note2
Note5
Note5
Note3
Note3
Note4
Note4

Related parts for UPD78F0838