DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 328

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
CPLDs
D-4
X8092
Import Utility
User-created
Simulation
Viewlogic
Stimulus
The following three figures illustrate the processing that Foundation
performs to create CPLD designs.
Figure D-4 Manipulation of Netlist and Constraint Files for
CPLDs (Part 1)
Descriptor
Schematic
Symbol
Capture
Gate-Level Simulation
EDN
or LogiBOLX GUI
CORE Generaor
EDN
Simulation
Only
HDL Editor
Compiler
Express
VHD or V
See Next Page
Netlist Merging/Mapping
Xilinx Development System
XNF/EDN
XNF/EDN UCF
Machine Editor
Finite State
Compiler
XABEL
ABL
Design Netlist
and Constraints

Related parts for DS-FND-BSX-PC