DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 128

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
5-26
LogiBLOX Modules in a VHDL or Verilog Design
LogiBLOX modules may be generated in Foundation and then
instantiated in the VHDL or Verilog code. This flow may be used for
any LogiBLOX component, but it is especially useful for memory
components such as RAM. Never describe RAM behaviorally in the
HDL code, because combinatorial feedback paths will be inferred.
The module being instantiated must be located in the HDL project
directory (that is, the directory where the top-level HDL file resides).
Running LogiBLOX from the Foundation project ensures this condi-
tion is met.
LogiBLOX provides a template tool for generating the VHDL or
Verilog component declaration statement.
VHDL Instantiation
This section explains how to instantiate a LogiBLOX module into a
VHDL design using Foundation. The example described below
creates a RAM48X4S using LogiBLOX.
1.
2.
3.
Access the LogiBLOX Module Selector window using one of the
following methods. Its operation is the same regardless of where
it is invoked.
Click Setup on the LogiBLOX Module Selector screen. (The first
time LogiBLOX is invoked, the Setup screen appears automati-
cally.)
In the Setup window, enter the following items.
From the Project Manger, select Tools
LogiBLOX module generator
From the HDL Editor, select Tools
From Schematic Editor, select Tools
Generator
Under the Device Family tab, use the pulldown list to select
the target device family (SpartanXL, for example).
Xilinx Development System
LogiBLOX
LogiBLOX Module
Design Entry

Related parts for DS-FND-BSX-PC