DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 278

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
Timing Constraints
B-6
Case Sensitivity
The “From:To” Style Timespec
Note: If you modify the PCF file, you should be certain that you enter
your constraints after the line “SCHEMATIC END ;”. Otherwise,
your constraints will be overwritten every time MAP is re-executed.
Since EDIF is a case-sensitive format, the Foundation constraints are
case sensitive as well. Always specify the net names and instance
names exactly as they are in your schematic or code. Be consistent
when using TNMs and other user-defined names in your constraints
file; always use the same case throughout. For site names (such as
“CLB_R2C8” or “P2”), you should use only upper case letters, since
site names within Xilinx devices are all upper case.
The following subsections discuss timing constraints. Many timing
constraints can be created using the Constraints Editor. If a constraint
can be created with the Constraints Editor, it will be noted in the
sections that follow.
When using the From:To style of constraint, the path(s) that are
constrained are specified by declaring the start point and end point,
which must be a pad, flip-flop, latch, RAM, or user-specified sync
point (see TPSYNC). To group a set of endpoints together, you may
attach a TNM attribute to the object (or to a net that is an input to the
object). With a macro, the TNM traverses the hierarchy to tag all rele-
vant objects. A TIMEGRP is a method for combining two or more sets
of TNMs or other TIMEGRPs together, or alternatively, to create a
new group by pattern matching (grouping a set of objects that all
have output nets that begin with a given string)
You can create a From:To timespec with the Constraints Editor.
You use TNMs to identify a group of design objects which are to be
referenced within a Timespec. If a TNM is placed on a net, the
Foundation tools determine TNM membership by tracing forward
from the specified net to all the valid endpoints of the net. Refer to the
Development System Reference Guide (“Using Timing Constraints”) for
more information on this subject. The following schematic shows an
example of TNM, TIMESPEC, and TIMEGRP statements.
Xilinx Development System

Related parts for DS-FND-BSX-PC