DS-FND-BSX-PC Xilinx Inc, DS-FND-BSX-PC Datasheet - Page 176

no-image

DS-FND-BSX-PC

Manufacturer Part Number
DS-FND-BSX-PC
Description
FOUNDATION BASE SYS W/SYN EXPRES
Manufacturer
Xilinx Inc
Type
Foundation Systemr
Datasheet

Specifications of DS-FND-BSX-PC

For Use With/related Products
Xilinx Programmable Logic Devices
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
Other names
122-1185
Foundation Series 2.1i User Guide
6-20
Figure 6-10 UCF File for Verilog Example
Figure 6-11 Top-level VHDL Example File
Xilinx Development System

Related parts for DS-FND-BSX-PC