PNX1501E,557 NXP Semiconductors, PNX1501E,557 Datasheet

IC MEDIA PROC 266MHZ 456-BGA

PNX1501E,557

Manufacturer Part Number
PNX1501E,557
Description
IC MEDIA PROC 266MHZ 456-BGA
Manufacturer
NXP Semiconductors
Datasheet

Specifications of PNX1501E,557

Applications
Multimedia
Core Processor
TriMedia
Controller Series
Nexperia
Interface
I²C, 2-Wire Serial
Number Of I /o
61
Voltage - Supply
1.14 V ~ 1.26 V
Operating Temperature
0°C ~ 85°C
Mounting Type
Surface Mount
Package / Case
456-BGA
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Program Memory Type
-
Ram Size
-
Other names
935274728557
PNX1501E
PNX1501E

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
PNX1501E,557
Manufacturer:
NXP Semiconductors
Quantity:
10 000
PNX15xx Series Data Book
Volume 1 of 1
Connected Media Processor
Rev. 3 — 17 March 2006

Related parts for PNX1501E,557

PNX1501E,557 Summary of contents

Page 1

PNX15xx Series Data Book Volume Connected Media Processor Rev. 3 — 17 March 2006 ...

Page 2

Philips Semiconductors Volume Table of Contents Chapter 1: Integrated Circuit Data 1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 3

Philips Semiconductors Volume 10.1 GPIO - General Purpose Software I/O and Flexible Serial Interface 2-21 10.1.1 software I ...

Page 4

Philips Semiconductors Volume 3.1 Registers Summary . . . . . . . . . . . . . . . . . . . . . . 5-31 Chapter 6: Boot Module 1. Introduction . . ...

Page 5

Philips Semiconductors Volume Chapter 9: DDR Controller 1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 6

Philips Semiconductors Volume 2.7.5 Output Interface Modes . . . . . . . . . . . . . . . . . . 11-21 2.7.6 Auxiliary Pins . . . . . . . . ...

Page 7

Philips Semiconductors Volume Chapter 14: FGPI: Fast General Purpose Interface 1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 8

Philips Semiconductors Volume Register Descriptions . . . . . . . . . . . . . . . . . 16-15 Chapter 17: SPDIF Output 1. Introduction . . . . . . . ...

Page 9

Philips Semiconductors Volume Chapter 20: 2D Drawing Engine 1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 10

Philips Semiconductors Volume 3.3 Pattern Matching Join Register 4. Descriptor and Status Formats 4.1 Receive Descriptors and Status 4.2 Transmit Descriptors and Status 5. LAN100 Functions . . . . . . . . . . . ...

Page 11

Philips Semiconductors Volume 2.1.3 Bit Counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25-3 ...

Page 12

Philips Semiconductors Volume 2.3 Programmable Timeout . . . . . . . . . . . . . . . . . . . 30-2 2.3.1 Arbitration . . . . . . . . . ...

Page 13

Philips Semiconductors Volume PNX15XX_SER_3 Product data sheet Rev. 3 — 17 March 2006 PNX15xx Series © Koninklijke Philips Electronics N.V. 2006. All rights reserved. -13 ...

Page 14

Philips Semiconductors Volume Chapter 1: Integrated Circuit Data Figure 1: Application Diagram of the Crystal Oscillator Figure 2: SSTL_2 Test Load Condition Figure 3: SSTL_2 Receiver Signal Conditions Figure 4: BPX2T14MCP Test Load Condition Figure 5: BPTS1CHP ...

Page 15

Philips Semiconductors Volume Figure 5: Clock Stretcher . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 16

Philips Semiconductors Volume Figure 9: IrDA Control TX with Sub-Carrier Enabled Figure 10: Sub-Carrier Multiplexing for TX Figure 11: Examples of Duty Cycles for Ir TX Signals Chapter 9: DDR Controller Figure 1: The two MTL Ports ...

Page 17

Philips Semiconductors Volume Figure 7: Video Data Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 18

Philips Semiconductors Volume Figure 2: Serial Format of an IEC60958 Block Figure 3: SPDIF Input: Raw Mode Format Figure 4: SPDIF Input Sample Order View of Memory Figure 5: Endian Mode Byte Address Memory Format Figure 6: ...

Page 19

Philips Semiconductors Volume Chapter 25 Interface Figure 1: SDA First Transmitted Byte Chapter 26: Memory Arbiter Figure 1: Arbitration Scheme . . . . . . . . . . . . . . ...

Page 20

Philips Semiconductors Volume Chapter 1: Integrated Circuit Data Table 1: PNX1500 I/O Types . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 21

Philips Semiconductors Volume Table 7: Video/Data Output Operating Modes Table 8: PNX15xx Series PCI capabilities Table 9: PCI/XIO-16 Bus Interface Unit Capabilities Chapter 3: System On Chip Resources Table 1: SYSTEM Registers . . . . . ...

Page 22

Philips Semiconductors Volume Table 5: IDE Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 23

Philips Semiconductors Volume Table 7: Fast Access Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 24

Philips Semiconductors Volume Chapter 16: Audio Input Table 1: Audio-In I2S Related Ports Table 2: Sample Rate Settings . . . . . . . . . . . . . . . . . . . ...

Page 25

Philips Semiconductors Volume Table 11: Pixel Format Bit Assignments Table 12: Dithering . . . . . . . . . . . . . . . . . . . . . . . . . ...

Page 26

Philips Semiconductors Volume Table 10: Transmit Status Structure Table 11: Transmit Status Information Word Table 12: LAN100 Pin Interface to external PHY Chapter 24: TM3260 Debug Table 1: JTAG TM3260 Instruction Encoding Table 2: JTAG Instruction Encoding ...

Page 27

Philips Semiconductors Volume Chapter 31: TM3260 VLIW CPU PNX15XX_SER_3 Product data sheet Rev. 3 — 17 March 2006 PNX15xx Series © Koninklijke Philips Electronics N.V. 2006. All rights reserved. -27 ...

Page 28

Chapter 1: Integrated Circuit Data PNX15xx Series Data Book – Volume Rev. 3 — 17 March 2006 1. Introduction The PNX1500 Media Processor Series is a complete Audio/Video/Graphics system on a chip that contains a high-performance 32-bit ...

Page 29

Philips Semiconductors Volume PNX1500 uses different I/Os depending on the type of the interface, e.g. PCI, or electrical characteristics needed for the functionality, e.g. a clock signal requires sharper edges than a regular signal. The following table ...

Page 30

Philips Semiconductors Volume • PCI_FRAME_N, PCI_TDRY_N, PCI_IRDY_N, PCI_DEVSEL_N, PCI_STOP_N, PCI_SERR_N, PCI_PERR_N and PCI_INTA_N require an external pull-up. Refer to Section 4.3.3 of PCI 2.2 specification for more details. • Any I/O or I/OD signal of the XIO ...

Page 31

Philips Semiconductors Volume Remark: The pull-down in the BPT3MCHDT5V pads is NOT strong enough to actually pull down a 5-V TTL input. Instead the TTL input pin sees a ‘1’. Table 4: PNX1500 Interface BGA Pad Pin ...

Page 32

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type MM_CLK M1 MM_CLK_N M2 MM_CS1_N V4 MM_CS0_N L3 MM_RAS_N L1 MM_CAS_N M4 MM_WE_N N3 MM_CKE J2 AVREF N2 SSTLREFGEN MM_BA1 P4 MM_BA0 R4 MM_ADDR12 ...

Page 33

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type MM_DATA31 AD2 MM_DATA30 AD1 MM_DATA29 AB2 MM_DATA28 AC1 MM_DATA27 AB1 MM_DATA26 AA2 MM_DATA25 AA1 MM_DATA24 W2 MM_DATA23 W4 MM_DATA22 Y3 MM_DATA21 Y4 MM_DATA20 AA3 ...

Page 34

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type PCI_AD31 H24 PCI_AD30 G26 PCI_AD29 J23 PCI_AD28 H25 PCI_AD27 H26 PCI_AD26 K23 PCI_AD25 J25 PCI_AD24 J26 PCI_AD23 L23 PCI_AD22 L24 PCI_AD21 L25 PCI_AD20 L26 ...

Page 35

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type PCI_TRDY_N N24 PCI_STOP_N P24 PCI_IDSEL K26 PCI_DEVSEL_N P26 PCI_REQ_N F23 PCI_GNT_N D24 PCI_REQ_A_N G23 PCI_GNT_A_N D25 PCI_REQ_B_N H23 PCI_GNT_B_N D26 PCI_PERR_N P23 PCI_SERR_N R25 ...

Page 36

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type PCI_INTA_N D23 Additional XIO bus signals to the regular PCI bus signals to implement Flash, IDE drive interface and M68k System Buses. XIO_D15 AA25 ...

Page 37

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type VDI_D31 AC14 VDI_D30 AF12 VDI_D29 AE12 VDI_D28 AF11 VDI_D27 AC13 VDI_D26 AD11 VDI_D25 AF10 VDI_D24 AE10 VDI_D23 AF9 VDI_D22 AC12 VDI_D21 AD10 VDI_D20 AE9 ...

Page 38

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type VDI_CLK2 AC6 BPX2T14MCP VDI_V2 AE1 Video/Data Out Pin Group The video mode provides ITU656 8-, 10- and 16-bit outputs, or digital 24-/30-bit HD YUV ...

Page 39

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type VDO_D31 C26 VDO_D30 E26 VDO_D29 D20 VDO_D28 F24 VDO_D27 F25 VDO_D26 F26 VDO_D25 G24 VDO_D24 G25 VDO_D23 D19 VDO_D22 C25 VDO_D21 B26 VDO_D20 D22 ...

Page 40

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type VDO_CLK2 B19 BPX2T14MCP VDO_AUX E24 FGPO_REC_SYNC C17 FGPO_BUF_SYNC A18 Octal Audio In (audio in always acts as receiver, but can be set as master ...

Page 41

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type AI_WS AD21 AI_SD3 AD22 BPT3MCHDT5V AI_SD2 AC17 BPT3MCHDT5V AI_SD1 AF24 BPT3MCHDT5V AI_SD0 AE23 BPT3MCHDT5V Octal Audio Out (audio out always acts as sender, but ...

Page 42

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type AO_WS AE20 AO_SD3 AF21 AO_SD2 AF20 AO_SD1 AE19 AO_SD0 AF19 SPDIF interface SPDI A6 BPT3MCHDT5V SPDO AF22 BPX2T14MCP 10/100 LAN interface (MII) LAN_CLK AF18 ...

Page 43

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type LAN_RX_CLK/ AF16 LAN_REF_CLK LAN_RXD3 AD17 LAN_RXD2 AD16 LAN_RXD1 AF17 LAN_RXD0 AE16 LAN_RX_DV AE15 LAN_RX_ER AD15 LAN_MDIO AC26 LAN_MDC AC25 Interface IIC_SDA ...

Page 44

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type GPIO06/CLOCK06 B9 GPIO05/CLOCK05 A8 BPX2T14MCP GPIO04/CLOCK04 A7 GPIO03/CLOCK03/ A4 BOOT_MODE03 GPIO02/CLOCK02/ A3 BOOT_MODE02 - GPIO01/CLOCK01/ B3 BOOT_MODE01 - GPIO00/CLOCK00/ B4 BOOT_MODE00 - JTAG Interface ...

Page 45

Philips Semiconductors Volume Table 4: PNX1500 Interface BGA Pad Pin Name Ball Type VDD[] - VSS[] - VSS[] - VSS[] - PNX15XX_SER_3 Product data sheet I/O GPIO Type # P Description VDDI PWR - - SoC core ...

Page 46

Philips Semiconductors Volume 2.3.1 Power Pin List Table 5: Power Pin List Digital Ground 3.3-V VSS VCCP T11 N11 V5 AB7 T12 N12 U5 AB8 T13 N13 T2 AB13 T14 N14 M3 AB14 T15 N15 H3 P22 ...

Page 47

Philips Semiconductors Volume 2.3.2 Pin Reference Voltage Table 6: Pin Reference Voltage 3.3 V Input and/or Output V CCP 5.0 V Input Tolerant 3.3 V Input and/or Output POR_IN_N PCI_AD31 PCI_SYS_CLK RESET_IN_N PCI_AD30 SYS_RST_OUT_N PCI_CLK PCI_AD29 VDO_CLK1 ...

Page 48

Philips Semiconductors Volume Table 7: Absolute Maximum Ratings Symbol Description V 3.3 V I/O supply voltage CCP V SSTL DDR-I I/O supply voltage CCM V SoC Core supply voltage DD V Input voltage for 5 V tolerant ...

Page 49

Philips Semiconductors Volume Table 9: PNX1500 Maximum Operating Speeds 2DDE VLIW CPU MBS TM3260 DDR-I MMIO VLD (MHz) (MHz) (MHz) (MHz) 240 183 144 123 4.2 PNX1501 Device Table 10: PNX1501 Operating Range and Thermal Characteristics Symbol ...

Page 50

Philips Semiconductors Volume Table 13: PNX1502 Maximum Operating Speeds 2DDE VLIW CPU MBS TM3260 DDR-I MMIO VLD (MHz) (MHz) (MHz) (MHz) 300 200 157 123 4.4 PNX1503 Device Table 14: PNX1503 Operating Range and Thermal Characteristics Symbol ...

Page 51

Philips Semiconductors Volume Table 16: PNX1520 Operating Range and Thermal Characteristics Symbol Description V SoC Core supply voltage DD T ambient Operating ambient temperature range. Junction to case thermal resistance jt [1] A heat sink with a ...

Page 52

Philips Semiconductors Volume The standby mode is obtain by specifically turning off the different clocks, i. not just a simple bit to flip into a register. Once all the clocks have been shutdown the power ...

Page 53

Philips Semiconductors Volume DC/AC I/O Characteristics The characteristics listed in the following tables apply to the worst case operating condition defined in digital ground). The following I/O characteristics includes the effect of process variation. PNX15XX_SER_3 Product ...

Page 54

Philips Semiconductors Volume 6.1 Input Clock Specification Table 20: Specification of HC-49U 27.00000 MHZ Crystal Frequency Temperature range Typical Load Capacitance ( Frequency accuracy (all included: temperature, aging, frequency Series resonance ...

Page 55

Philips Semiconductors Volume Table 22: SSTL_2 AC/DC Characteristics Symbol Parameter V DC Input High Voltage IH- Input Low Voltage IL- Input High Voltage IH- Input Low Voltage IL-AC R Series Output ...

Page 56

Philips Semiconductors Volume 6.3 BPX2T14MCP Type I/O Circuit Table 23: BPX2T14MCP Characteristics Symbol Parameter V Output High Voltage OH V Output Low Voltage Input High Voltage IHT V DC Input Low Voltage ILT V ...

Page 57

Philips Semiconductors Volume 6.4 BPTS1CHP and BPTS1CP Type I/O Circuit Table 24: BPTS1CHP and BPTS1CP Characteristics Symbol Parameter V Output High Voltage OH V Output Low Voltage Input High Voltage IHT V DC Input ...

Page 58

Philips Semiconductors Volume 6.5 BPTS3CHP and BPTS3CP Type I/O Circuit Table 25: BPTS3CHP and BPTS3CP Characteristics Symbol Parameter V Output High Voltage OH V Output Low Voltage Input High Voltage IHT V DC Input ...

Page 59

Philips Semiconductors Volume 6.6 IPCHP and IPCP Type I/O Circuit Table 26: IPCHP and IPCP Characteristics Symbol Parameter V DC Input High Voltage IHT V DC Input Low Voltage ILT V DC Input High Voltage IH V ...

Page 60

Philips Semiconductors Volume 6.8 IIC3M4SDAT5V and IIC3M4SCLT5V type I/O circuit Table 28: IIC3M4SDAT5V and IIC3M4SCLT5V Characteristics Symbol Parameter V Input High Voltage IH V Input Low Voltage IL V Input Schmitt trigger Hysteresis HYS V Output Low ...

Page 61

Philips Semiconductors Volume 7.1 Reset Figure 9: Table 30: Reset Timing Symbol Parameter T Reset active time after power and clock stable LOWP T Reset active after POR_IN_N is pulled high HOLD T Reset active time after ...

Page 62

Philips Semiconductors Volume Table 31: DDR DRAM Interface Timing Symbol Parameter T Maximum input skew supported iskew-dqs (when reading from DDR SDRAM) T Input setup time for MM_DQ is-dq (when reading from DDR SDRAM) T Input hold ...

Page 63

Philips Semiconductors Volume [32-7] Figure 10: PCI Output and Input Timing Measurement Conditions Figure 11: PCI T PNX15XX_SER_3 Product data sheet 6. For the purpose of Active/Float timing measurements, the Hi-Z or ‘off’ state is defined to ...

Page 64

Philips Semiconductors Volume 7.4 QVCP, LCD and FGPO Interfaces Table 33: QVCP, LCD and FGPO Timing With Internal Clock Generation Symbol Parameter F VDO_CLK1 frequency QVCP F VDO_CLK2 frequency FGPO T Clock to VDO_D[34:0] and VDO_AUX for ...

Page 65

Philips Semiconductors Volume Figure 12: QVCP and FGPO I/O Timing [34-1] [34-2] [34-3] [34-4] [34-5] 7.5 VIP and FGPI Interfaces Table 35: VIP and FGPI Timing Symbol Parameter F VDI_CLK1 frequency VIP F VDI_CLK2 frequency FGPI T ...

Page 66

Philips Semiconductors Volume 7.6 10/100 LAN In MII Mode Table 36: 10/100 LAN MII Timing Symbol Parameter F LAN_CLK frequency LAN_CLK F LAN_TX_CLK and LAN_RX_CLK frequency CLK T Clock to LAN Outputs CLK-DV T Input setup time ...

Page 67

Philips Semiconductors Volume [37-4] Figure 15: LAN 10/100 I/O Timing in RMII Mode 7.8 Audio Input Interface Table 38: Audio Input Timing Symbol Parameter F Audio Input oversampling frequency OSCLK F Audio Input frequency AI_CLK T Clock ...

Page 68

Philips Semiconductors Volume See timing measurement conditions Figure 16: Audio Input I/O Timing 7.9 Audio Output Interface Table 39: Audio Output Timing Symbol Parameter F Audio Output oversampling frequency OSCLK F Audio Output frequency AO_CLK T ...

Page 69

Philips Semiconductors Volume See timing measurement conditions Figure 17: Audio Output I/O Timing 7.10 SPDIF I/O Interface Table 40: SPDIF I/O Timing Symbol Parameter T Data/Clock Output High Time HIGH T Data/Clock Output Low Time LOW ...

Page 70

Philips Semiconductors Volume 7. I/O Interface 2 Table 41 I/O Timing Symbol Parameter f SCL clock frequency SCL T Bus free time BUF T Start condition set up time SU-STA T Start ...

Page 71

Philips Semiconductors Volume Figure 20: I 7.12 GPIO Interface Table 42: GPIO Timing Symbol Parameter F GPIO sampling/pattern generation CLOCK frequency CLOCK T GPIO[6:0] CLOCK to DATA valid for GPIO[15:0] pins CLK-DV1 T GPIO[6:0] CLOCK to DATA ...

Page 72

Philips Semiconductors Volume See timing measurement conditions Figure 21: Audio Output I/O Timing 7.13 JTAG Interface Table 43: JTAG Timing Symbol Parameter F Boundary scan frequency BSCAN F JTAG frequency JTAG T Falling edge of the ...

Page 73

Philips Semiconductors Volume Package Outline Latest information may be found at http://www.semiconductors.philips.com/package/SOT795-1.html BGA456: plastic ball grid array package; 456 balls; body 1.75 mm ball A1 index area ...

Page 74

Philips Semiconductors Volume BGA Ball Assignment Figure 24: BGA Bottom View Pin Assignment PNX15XX_SER_3 Product data sheet Chapter 1: Integrated Circuit Data Rev. 3 — 17 March 2006 PNX15xx Series © Koninklijke Philips Electronics N.V. 2006. ...

Page 75

Philips Semiconductors Volume Figure 25: BGA Top View Pin Assignment PNX15XX_SER_3 Product data sheet Chapter 1: Integrated Circuit Data Rev. 3 — 17 March 2006 PNX15xx Series © Koninklijke Philips Electronics N.V. 2006. All rights reserved. 1-48 ...

Page 76

Philips Semiconductors Volume 10. Board Design Guidelines The following sections discuss the fundamentals of board design for the PNX1500 system. The intent is to give general guidelines on the subject, not the complete in depth coverage. A ...

Page 77

Philips Semiconductors Volume Other devices like the DDR memory devices also require local decoupling capacitors. At least eight 0.1 F capacitors (one for each VDD or VDDQ) combined with one are recommended ...

Page 78

Philips Semiconductors Volume All the key components (the analog bypass capacitor and crystal capacitors) are on the PCB connected to the free-floating analog VSSA_1.2 net Figure 27: Digital VDD Power Supply to Analog VDDA/VSSA_1.2 Power Supply Filter ...

Page 79

Philips Semiconductors Volume • Recommended Trace lengths for operating frequency DDR400 are shown in Table 44: DDR Recommended Trance Length Signal MM_CK, MM_CK# MM_AD[12:0], MM_BA[1:0] MM_RAS/CAS/WE/CKE MM_CS[1:0] MM_DQS[3:0] MM_DATA[31:0] MM_DQM[3:0] DDR devices that are ...

Page 80

Philips Semiconductors Volume and the bar of the ‘T’ (this applies when the signal has two or more loads). For single loaded tracks and bi-directional signals, the parallel termination resistor should be placed about 50% of the ...

Page 81

Philips Semiconductors Volume 13. Ordering Information Table 45: Ordering Information Part Name 12 NC PNX1500E 12NC 9352 729 05557 240 MHz 183 MHz 1.2-V PNX1501E 12NC 9352 747 28557 266 MHz 200 MHz 1.2-V PNX1502E 12NC 9352 ...

Page 82

Chapter 2: Overview PNX15xx Series Data Book – Volume Rev. 3 — 17 March 2006 1. Introduction The PNX15xx Series Media Processor is a complete Audio/Video/Graphics system on a chip that contains a high-performance 32-bit VLIW processor, ...

Page 83

Philips Semiconductors Volume Processing functions are those that modify an existing data structure and prepare that structure for display functions. Display functions take the processed data structures from memory and generate the appropriate output stream ...

Page 84

Philips Semiconductors Volume Table 1: Partitioning of Functions to Resources function image scaling video format conversions, including color space conversion histogram correction, black stretch, luminance sharpening (LTI, CDS, HDP), color features (green enhancement, skin tone correction, blue ...

Page 85

Philips Semiconductors Volume • 2-layer compositing video output, with integrated scaling and video improvement processing, supporting W-XGA TFTs, 1280 x 768 60 Hz, HD video 1920 x 1080 Mpix/s. ...

Page 86

Philips Semiconductors Volume PNX15xx Series Functional Block Diagram Figure 1 component is further explained in this chapter and later more detailed with a dedicated chapter 200 MHz (i.e 400 MHz data rate), 16- or ...

Page 87

Philips Semiconductors Volume System Resources 3.1 System Reset The PNX15xx Series includes a system reset module. This reset module provides a synchronous reset to internal PNX15xx Series logic and a reset output pin for initialization of ...

Page 88

Philips Semiconductors Volume The scripted boot, in combination with an appropriately programmed I allows the PNX15xx Series to boot in many ways. A stand-alone PNX15xx Series system is able to reliably update its own Flash boot image, ...

Page 89

Philips Semiconductors Volume – – – After wake-up from sleep mode, the TM3260 CPU can examine the tentative wake-up attempt, and if the wake-up is genuine, bring the system back to full operational mode. In addition, the ...

Page 90

Philips Semiconductors Volume System Memory 4.1 MMI - Main Memory Interface PNX15xx Series has an unified memory system for the PNX15xx Series CPU and all of its modules. This memory is also visible from any PCI ...

Page 91

Philips Semiconductors Volume PNX15xx Series provides 5 chip selects for the XIO bus. The TM3260 can execute or read from direct addressable Flash types. Execution from Flash is low performance, and only recommended for boot usage. After ...

Page 92

Philips Semiconductors Volume The TM3260 is responsible for all media processing and real-time processing functions within the PNX15xx Series. It runs a small real-time operating system, pSOS, which allows it to respond efficiently and predictably to real-time ...

Page 93

Philips Semiconductors Volume MPEG Decoding The TM3260 processes the audio, video and the stream de-multiplexing via software. The Variable Length decoding as well as the authentication and the de-scrambling are supported by two coprocessors. 6.1 VLD ...

Page 94

Philips Semiconductors Volume • Software (on the TM3260 CPU) can be written endian-mode independent, even when doing SIMD style vectorized computations Remark: The native formats of PNX15xx Series include the most common indexed, packed RGB, packed YUV ...

Page 95

Philips Semiconductors Volume • Stores video data inside the video acquisition window in system memory in any of the native pixel formats indicated in rounding to convert the10-bit input to the selected format. • Provides an internal ...

Page 96

Philips Semiconductors Volume • conversions from any input pixel format to any non-indexed pixel format, including conversions between 4:2:0, 4:2:2 and 4:4:4, indexed to true color conversion, color expansion / compression, de-planarization/planarization (to convert between planar and ...

Page 97

Philips Semiconductors Volume – – – QVCP supports the semi-planar YUV formats for one layer. Both layers support only indexed, RGB and packed YUV formats. QVCP does not support planar video formats. See The mixer stage combines ...

Page 98

Philips Semiconductors Volume Motion vectors computed by TM3260 software can be sent to a video improvement post-processor over the PCI interface. The function of VDO_AUX is programmed using the QVCP capability to combine alpha or chroma-keying information ...

Page 99

Philips Semiconductors Volume decoded audio can be used for mixing with other audio for output along one of the audio outputs. The sample rate is determined by the S/PDIF source, and cannot be software controlled. 9. General ...

Page 100

Philips Semiconductors Volume Table 6: Video/Data Input Operating Modes mode VIP function VDI_MODE[1:0] = 0x1 20-bit ITU 656 as for HD video with additional H&V synchronization signals VDI_MODE[1:0] = 0x2 8-bit ITU 656 or 8-bit raw data ...

Page 101

Philips Semiconductors Volume The operating modes of the video/data output router are set by the VDO_MODE MMIO register. A subset of the operating modes is presented in behavior description of the output router is available in Section ...

Page 102

Philips Semiconductors Volume • In combination with VDI_MODE[7] bit, see basic Video In module by storing in memory at specific locations the different lines and fields of the in-coming video data. Note that the YUV data is ...

Page 103

Philips Semiconductors Volume Note that this capability is useful for low/medium speed software implemented protocols, as well as for observing switches, driving LEDs etc highly recommended to first use the powerful GPIO pins as protocol ...

Page 104

Philips Semiconductors Volume • 50% of the pins will have a ‘low’ reset value • 50% have a ‘high’ reset value This allows use of GPIO for a variety of functions. 10.2 IR Remote Control Receiver and ...

Page 105

Philips Semiconductors Volume PNX15xx Series can act as PCI bus arbiter for external masters, i.e. total of 4 masters with PNX15xx Series, without external logic. PCI clock is an input to PNX15xx Series, but ...

Page 106

Philips Semiconductors Volume The table below summarizes extension capabilities of the bus interface unit. Table 9: PCI/XIO-16 Bus Interface Unit Capabilities External Device Device Type external PCI 32-bit MHz master PCI masters external PCI ...

Page 107

Philips Semiconductors Volume Table 9: PCI/XIO-16 Bus Interface Unit Capabilities External Device Device Type external DRAM not supported external Motorola not supported style masters external 8/16-bit not supported XIO DMA devices 10.3.3 IDE Drive Interface The PNX15xx ...

Page 108

Philips Semiconductors Volume PNX15xx Series on-chip modules and co-processors observe the system global endian mode flag. The TM3260 endian mode can be set by the TM3260 program module itself, and should always be set identical to system ...

Page 109

Philips Semiconductors Volume PNX15XX_SER_3 Product data sheet Rev. 3 — 17 March 2006 PNX15xx Series Chapter 2: Overview © Koninklijke Philips Electronics N.V. 2006. All rights reserved. 2-28 ...

Page 110

Chapter 3: System On Chip Resources PNX15xx Series Data Book – Volume Rev. 3 — 17 March 2006 1. Introduction This chapter presents information on the PNX15xx Series System On-Chip (SOC) and its MMIO registers. Further details ...

Page 111

Philips Semiconductors Volume Before going into the details of the three different views the following generic rules should be noted: • The three views must be consistent. For example not allowed to have a different ...

Page 112

Philips Semiconductors Volume Remark: Partial 32-bit load or stores from a PCI master to an MMIO register is not supported. Therefore byte of 16-bit half-word accesses are not supported. 2.2 The CPU View The TM3260 CPU supports ...

Page 113

Philips Semiconductors Volume Remark: If the value 0x0000,0000 is stored into TM32_APERT1_HI, this value is understood as 0x1,0000,0000. 2.3 The DCS View Or The System View TM3260 0x1 0000 0000 inaccessible 2MB MMIO Aperture MMIO_BASE/base_14 inaccessible TM32_APERT1_HI ...

Page 114

Philips Semiconductors Volume the program phase where it is planned to be used). This creates random addresses that can target the APERT1 aperture. Therefore the load may generate a transaction on the PCI bus ...

Page 115

Philips Semiconductors Volume 2.4.1 DCS DRAM Aperture Control MMIO Registers Table 1: SYSTEM Registers Acces Bit Symbol s DCS DRAM Aperture Control Registers Offset 0x06 3200 DCS_DRAM_LO 31:16 DCS_DRAM_LO R/W 15:0 Unused - Offset 0x06 3204 DCS_DRAM_HI ...

Page 116

Philips Semiconductors Volume Other than the PCI module, only the TM3260 CPU can emit requests to the PCI bus, i.e. none of the other PNX15xx Series modules can do so. Only the TM3260 CPU and external PCI ...

Page 117

Philips Semiconductors Volume 3.3 System Module MMIO registers Table 2: SYSTEM REGISTERS Acces Bit Symbol s System Module Registers Offset 0x06 3FF4 GLB_REG_POWER_DOWN 31 POWER_DOWN R/W 30:0 Unused - Offset 0x06 3FFC GLB_REG_MOD _ID 31:16 MODULE_ID R ...

Page 118

Philips Semiconductors Volume 4.1 System Endian Mode MMIO registers Table 3: SYSTEM REGISTERS Acces Bit Symbol s System Endian Mode Registers Offset 0x06 3014 SYS_ENDIANMODE 31:1 Unused - 0 BIG_ENDIAN R/W 5. System Semaphores PNX15xx Series has ...

Page 119

Philips Semiconductors Volume • PCI configspace PERSONALITY entry. Each PNX15xx Series receives a 16-bit PERSONALITY value from the EEPROM during boot. This PERSONALITY register is located at offset 0x40 in configuration space system, some ...

Page 120

Philips Semiconductors Volume 5.5 Semaphore MMIO Registers Table 4: Semaphore MMIO Registers Acces Bits Symbol s Semaphore Registers Offset 0x06 3800 SEMAPHORE0 31:12 Unused - 11:0 SEMAPHORE0 R/W Offset 0x06 3804 SEMAPHORE1 31:0 SEMAPHORE1 R/W Offset 0x06 ...

Page 121

Philips Semiconductors Volume Table 4: Semaphore MMIO Registers Acces Bits Symbol s 31:0 SEMAPHORE14 R/W Offset 0x06 383C SEMAPHORE15 31:0 SEMAPHORE15 R/W 6. System Related Information for TM3260 This section contains information on how the internal TM3260 ...

Page 122

Philips Semiconductors Volume Table 5: Interrupt Source Assignments SOURCE SOURCE NAME NUMBER TIMER3 7 SYSTIMER 8 VIP 9 QVCP SPDI 13 SPDO 14 ETHERNET 15 I2C 16 TMDBG 17 FGPI 18 FGPO ...

Page 123

Philips Semiconductors Volume Table 5: Interrupt Source Assignments SOURCE SOURCE NAME NUMBER DCS 60 MMI 61 Reserved 62...63 6.2 Timers The TM3260 CPU contains four programmable timer/counters, all with the same function. The first three (TIMER1, TIMER2, ...

Page 124

Philips Semiconductors Volume 6.3 System Parameters for TM3260 Few more control parameters are available to tune the use of TM3260 and PNX15xx Series. The MMIO register layout and offsets are described in • The CPU apertures (DRAM ...

Page 125

Philips Semiconductors Volume 6.3.1 TM3260 Table 7: TM3260 System Parameters MMIO Registers Acces Bit Symbol s System Module Registers Offset 0x06 3700 TM32_CONTROL 31:4 Unused - 3 TM32_APERT_MODIFI R/W ABLE 2 TM32_LS_DBLLINE R/W 1 TM32_IFU_DBLLINE R/W 0 ...

Page 126

Philips Semiconductors Volume Section 7.1 VDI_MODE and VDO_MODE MMIO registers. page 2-19 7.1 MMIO Registers for the Input/Output Video/Data Router In the following tables • The X associated with a bit value means ‘do not care’. • ...

Page 127

Philips Semiconductors Volume Table 8: Global Registers Acces Bit Symbol s Input and Output Control Registers Offset 0x06 3000 VDI_MODE 31:8 Unused - 7 VDI_MODE_7 R/W 6:5 Unused - PNX15XX_SER_3 Product data sheet Chapter 3: System On ...

Page 128

Philips Semiconductors Volume Table 8: Global Registers …Continued Acces Bit Symbol s 4:3 VDI_MODE[4:3] R/W 2 VDI_MODE[2] is unused - 1:0 VDI_MODE[1:0] R/W PNX15XX_SER_3 Product data sheet Chapter 3: System On Chip Resources Value Description 0 VDI-to-VIP ...

Page 129

Philips Semiconductors Volume Table 8: Global Registers …Continued Acces Bit Symbol s 4:3 VDI_MODE[4:3] R/W 2 VDI_MODE[2] is unused - 1:0 VDI_MODE[1:0] R/W 4:3 VDI_MODE[4:3] R/W 2 VDI_MODE[2] is unused - 1:0 VDI_MODE[1:0] R/W PNX15XX_SER_3 Product data ...

Page 130

Philips Semiconductors Volume Table 8: Global Registers …Continued Acces Bit Symbol s Offset 0x06 3004 VDO_MODE 31:8 Unused - 7 VDO_MODE R/W PNX15XX_SER_3 Product data sheet Chapter 3: System On Chip Resources Value Description VDI-to-FGPI mapping (continued) ...

Page 131

Philips Semiconductors Volume Table 8: Global Registers …Continued Acces Bit Symbol s 6 VDO_MODE R/W 5 VDO_MODE R/W 4:3 Unused - PNX15XX_SER_3 Product data sheet Chapter 3: System On Chip Resources Value Description 0 ‘0’: No action ...

Page 132

Philips Semiconductors Volume Table 8: Global Registers …Continued Acces Bit Symbol s 2:0 VDO_MODE R/W PNX15XX_SER_3 Product data sheet Chapter 3: System On Chip Resources Value Description 0 TFT/QVCP mapping to VDO interface 000*: TFT LCD controller ...

Page 133

Philips Semiconductors Volume Table 8: Global Registers …Continued Acces Bit Symbol s PNX15XX_SER_3 Product data sheet Chapter 3: System On Chip Resources Value Description 100*: Digital 24-bit YUV or RGB video QVCP_DATA[29:22,19:12,9:2] -> VDO_D[28:5] QVCP_VSYNC QVCP_HSYNC QVCP_AUX1 ...

Page 134

Philips Semiconductors Volume Table 8: Global Registers …Continued Acces Bit Symbol s 2:0 VDO_MODE R/W [8-1] PNX15XX_SER_3 Product data sheet Value Description 0 FGPO mapping to VDO interface 000* and VDO_MODE[7] = ‘1’: FGPO_DATA[2:0] -> VDO_D[2:0] FGPO_DATA[3] ...

Page 135

Philips Semiconductors Volume Miscellaneous Several other system MMIO registers are described in the following paragraphs and detailed in the next • By default PCI_INTA_N is an input/output pin used in open drain mode for the PCI ...

Page 136

Philips Semiconductors Volume 8.1 Miscellaneous System MMIO registers Table 9: Miscellaneous System MMIO registers Acces Bit Symbol s System Registers Offset 0x06 3050 PCI_INTA 31:2 Unused - 1 PCI_INTA W 0 PCI_INTA_OE R/W Offset 0x06 3500 SCRATCH0 ...

Page 137

Philips Semiconductors Volume Table 9: Miscellaneous System MMIO registers Acces Bit Symbol s Offset 0x06 3600 SPDI_MUX_SEL 31:4 Unused - 3:0 SPDI_MUX_SEL R/W Offset 0x06 360C SPARE_CTRL 31:8 Unused - 7:0 SPARE_CTRL R/W PNX15XX_SER_3 Product data sheet ...

Page 138

Philips Semiconductors Volume System Registers Map Summary Table 10: System Registers Map Summary Offset Name 0x06_3000 VDI _MODE 0x06_3004 VDO_MODE 0x06_3014 SYS_ENDIANESS 0x06_3050 PCI_INTA 0x06_3200 DCS_DRAM_LO 0x06_3204 DCS_DRAM_HI 0x06_3208 APERTURE_WE 0x06_3500 SCRATCH0 0x06_3504 SCRATCH1 0x06_3508 SCRATCH2 ...

Page 139

Philips Semiconductors Volume Table 10: System Registers Map Summary Offset Name 0x06_383C SEMAPHORE15 0x06_3FF4 GLB_REG_PWR_DWN 0x06_3FFC GLB_REG_MOD _ID 10. Simplified Internal Bus Infrastructure Figure 3: Simplified Internal Bus Infrastructure More details on the DCS bus in PNX15XX_SER_3 ...

Page 140

Philips Semiconductors Volume 11. MMIO Memory MAP Each module has an address range in the MMIO aperture from which its registers can be accessed. This address range is defined by its starting address, a.k.a. its offset, and ...

Page 141

Philips Semiconductors Volume Table 11: MMIO Memory MAP address offset from MMIO_BASE Module Module (PCI base 14) Name ID 0x10,4000 GPIO 0xA065 0x10,6000 VIP 0x011A 0x10,9000 SPDIF OUT 0x0121 0x10,A000 SPDIF IN 0x0110 0x10,C000 MBS 0x0119 0x10,E000 ...

Page 142

Chapter 4: Reset PNX15xx Series Data Book – Volume Rev. 3 — 17 March 2006 1. Introduction The Reset module initiates life for the PNX15xx Series system since it generates all reset signals required for a correct ...

Page 143

Philips Semiconductors Volume • peri_rst_n. This signal is used internally to reset all the PNX15xx Series modules including the TM3260 CPU. This signal is asserted when one of the following conditions occurs: – – – – Remark: ...

Page 144

Philips Semiconductors Volume Figure 1 PNX15xx Series system. Reset module Registers RST_CTL RST_CAUSE RESET_IN_N Watch Dog Timer POR_IN_N Interrupt Counter Bus Interface Figure 1: Reset Module Block Diagram 2.1 RESET_IN_N or POR_IN_N? POR_IN_N is meant to be ...

Page 145

Philips Semiconductors Volume 2.2 The watchdog Timer The internal PNX15xx Series watchdog timer has two operating modes. Both modes result in the assertion of the internal reset signals, peri_rst_n and sys_rst_out_n signals based upon a time-out condition. ...

Page 146

Philips Semiconductors Volume The following 1 clk_dtl_mmio Watchdog_count 0 watchdog_reset peri_rst_n sys_rst_out_n SYS_RST_OUT_N 1: The watchdog count register is programmed 2: The count is happening 3: The count reaches the programmed value and a watchdog reset is ...

Page 147

Philips Semiconductors Volume step 4 does not occur before the count reaches the WATCHDOG_COUNT value an interrupt is issued to the TM3260 CPU and the second internal counter (the interrupt counter) starts. The internal watchdog ...

Page 148

Philips Semiconductors Volume Remark: Upon any of the described ways to reset the PNX15xx Series system the ...

Page 149

Philips Semiconductors Volume 3.2 The Software Timing Whenever a watchdog timer time-out occurs or when a software reset is requested by writing to the RST_CTL.DO_SW_RST bit the PNX15xx Series system is reset. Both are referred as software ...

Page 150

Philips Semiconductors Volume Register Definitions Table 1: RESET Module Acces Bit Symbol s Reset Module Offset 0x06,0000 RST_CTL 31:3 Unused W 2 DO_SW_RST W 1 REL_SYS_RST_OUT W 0 ASSERT_SYS_RST_O W UT Offset 0x06,0004 RST_CAUSE Remark: RST_CTL ...

Page 151

Philips Semiconductors Volume Table 1: RESET Module …Continued Acces Bit Symbol s 0 WATCHDOG_INTERRU R/W PT_CLEAR Offset 0x06,0FEC INTERRUPT_SET 31:1 Unused R/W 0 WATCHDOG_INTERRU R/W PT_SET Offset 0x06,0FFC MODULE_ID 31:16 MODULE_ID R 15:12 MAJOR_REV R 11:8 MINOR_REV ...

Page 152

Chapter 5: The Clock Module PNX15xx Series Data Book – Volume Rev. 3 — 17 March 2006 1. Introduction The Clock module is the heart of the PNX15xx Series system. Its role is to provide and control ...

Page 153

Philips Semiconductors Volume • programmable dividers, controlled by configuration registers • clock blocking circuitry to allow for safe, glitch-free switching of clocks. Clocks are typically switched when: – – – – PNX15XX_SER_3 Product data sheet PLLs or ...

Page 154

Philips Semiconductors Volume Figure 1 (DFT) have been added into the drawing and can be disregarded for functional behavior. The signals in functional operating mode. oscillator pad XTALI en xtal_clk low jitter PLL (external to CAB) XTALO ...

Page 155

Philips Semiconductors Volume Remark: Not all the clocks to the modules are generated in the Clock Module, there will be other clocks which will come into PNX15xx Series from external sources. Some of these clocks will be ...

Page 156

Philips Semiconductors Volume Table 1: PNX15xx Series Module and Bus Clocks Bus or Module Signal Name Description MBS clk_mbs MBS clock TMDBG clk_tstamp Timestamp clock GPIO 10/100 clk_lan Ethernet PHY Ethernet Clock MAC clk_lan_tx Ethernet Transmit Clock ...

Page 157

Philips Semiconductors Volume Table 1: PNX15xx Series Module and Bus Clocks Bus or Module Signal Name Description QVCP clk_qvcp_out VDO_CLK1 External pixel clock clk_qvcp_pix internal pixel clock clk_qvcp_proc processing layer clock clk_lcd_tstamp LCD timestamp VIP clk_vip VDI_CLK1 ...

Page 158

Philips Semiconductors Volume Table 1: PNX15xx Series Module and Bus Clocks Bus or Module Signal Name Description GPIO clk_gpio_4q GPIO FIFO clock clk_gpio_5q GPIO FIFO clock clk_gpio_6q_12 GPIO FIFO clock/ external clock clk_gpio_13 external clock clk_gpio_14 external ...

Page 159

Philips Semiconductors Volume 2.2.1 PLL Specification A PLL consists of a Voltage Controlled Oscillator (VCO) and a Post Divide (PD) circuit, as presented in Fpd Fin clk_in /M (xtal_clk) 5 extracted for DFT Figure 2: PLL Block ...

Page 160

Philips Semiconductors Volume • Run the VCO as high as possible, therefore for low output frequencies chose high P values • Ensure Table 2: Current Adjustment Values Based on N 30-37 38-46 47-54 55-63 64-72 73-82 83-89 ...

Page 161

Philips Semiconductors Volume PLL Characteristics Table 4: PLL Characteristics PLL Data Input clock frequency VCO input frequency VCO output frequency Output frequency Jitter (high frequency) Lock time Duty Cycle 2.2.2 The Clock Dividers The clock dividers allow ...

Page 162

Philips Semiconductors Volume 2.2.3 The DDS Clocks The DDS clocks are recommended for clocks that need to track dynamically another frequency by very small steps. The following equations characterize the PNX15xx Series DDS blocks DDS ...

Page 163

Philips Semiconductors Volume Table 7: External Clocks Signal Name Frequency mm_clk_out 200 MHz clk_mem clk_vip MHz clk_fgpi up to 100 MHz clk_qvcp MHz clk_fgpo up to 100 MHz ai_osclk ...

Page 164

Philips Semiconductors Volume 2.3 Clock Control Logic All the generated PNX15xx Series clocks follow the generic block diagram presented in Figure CAB clk_out BLOCKING Logic re-program PLL parameters or 1.728 GHz PLL divider Figure 3: Block Diagram ...

Page 165

Philips Semiconductors Volume The blocking will be released after a safe interval of 300 s. The 300 s is counted using the 27 MHz xtal_clk. blocking lasts for less than 10 xtal_clk cycles since it assumes the ...

Page 166

Philips Semiconductors Volume Table 8: Bypass Clock Sources Clocks from Clock Module clk_qvcp clk_qvcp_pix clk_qvcp_proc clk_lcd_tstamp clk_vip clk_vld ai_osclk ao_osclk clk_spdo clk_spdi clk_gpio_q4 clk_gpio_q5 clk_gpio_q6_12 clk_gpio_13 clk_gpio_14 clk_fgpo clk_fgpi 2.5 Power-up and Reset sequence On power-up, the ...

Page 167

Philips Semiconductors Volume write with a 0 value stops the clock stretching circuit. clk_tm stretcher count 3 0 turn_off turn_off_ack clk_out Figure 5: Clock Stretcher 2.7 Clock Frequency Determination This feature allows the measuring of the ...

Page 168

Philips Semiconductors Volume 2.8 Power Down All clocks generated in the clock module may be disabled by programming the relevant clock enable bit of each clock control register possible to gate module clocks in individual ...

Page 169

Philips Semiconductors Volume The GPIO interrupt comes from the GPIO block and is the “OR” of all the FIFO and timestamp registers. This way a GPIO pin can be monitored and when an event occurs the interrupt ...

Page 170

Philips Semiconductors Volume interrupt is generated whenever the signal 'clock present' changes status. Therefore an interrupt is generated if a clock changes from 'present' to 'non-present’ OR from 'non-present to 'present'. The interrupt registers are implemented ...

Page 171

Philips Semiconductors Volume protecting an input clock from contention by having the pad set to an input (in the case of an input clock). In both cases a write to each control register is necessary to properly ...

Page 172

Philips Semiconductors Volume 2.12.1 TM3260, DDR and QVCP clocks Clock xtal_clk PLL2 is located outside CAB PLL2 N,M, current_adj parameters slice_tst_in CAB PLL1 DDS1 PLL1 slice_tst_in 27 MHz N,M,P parameters Duty cycle 75/25 CAB PLL0 DDS0 slice_tst_in ...

Page 173

Philips Semiconductors Volume clk_144 clk_133 clk_108 clk_96 clk_86 clk_78 clk_58 clk_39 clk_33 clk_17 sel_qvcp_proc_clk_src Figure 8: QVCP_PROC Clock clk_qvcp_out Figure 9: QVCP_PIX Clock clk_qvcp_out generation is presented in clock used for clk_qvcp_out can be the inverted version ...

Page 174

Philips Semiconductors Volume 2.12.2 Clock Dividers 1.728 GHz PLL CAB Figure 10: Clock Dividers PNX15XX_SER_3 Product data sheet Clocks Block clk_192 / clk_173 / clk_157 / clk_144 / clk_133 / clk_123 / clk_115 / clk_108 / clk_102 ...

Page 175

Philips Semiconductors Volume 2.12.3 Internal PNX15xx Series Clock from Dividers clk_144 clk_123 clk_108 clk_96 clk_86 clk_78 clk_157 clk_72 clk_144 clk_54 clk_133 clk_123 clk_115 clk_108 clk_144 clk_102 clk_133 clk_54 clk_108 clk_96 clk_86 clk_78 clk_72 clk_66 sel_dtl_mmio_clk_src sel_vld_clk_src sel_dvdd_clk_src ...

Page 176

Philips Semiconductors Volume clk_33 xtal_clk/16 clk_144 clk_72 UNDEF clk_108 clk_13_5 clk_48 Figure 12: Internal PNX15xx Series Clock from Dividers: PCI, SPDI, LCD and I GPIO Figure 13: Internal PNX15xx Series Clock from Dividers: LCD Timestamp PNX15XX_SER_3 Product ...

Page 177

Philips Semiconductors Volume 2.12.4 GPIO Clocks Clock Module DDS8 tst_clk_a Clock Module DDS7 tst_clk_a Clock Module DDS6 tst_clk_a Clock Module DDS5 tst_clk_a Clock Module DDS2 tst_clk_a Figure 14: GPIO Clocks PNX15XX_SER_3 Product data sheet xtal_clk tst_clk_gpio_q4 BLOCKING ...

Page 178

Philips Semiconductors Volume 2.12.5 External Clocks xtal_clk DDS7 GPIO sel_clk_vip clk_vip Figure 15: VDI_CLK1 Block Diagram sel_clk_fgpi_src DDS3 DDS8 GPIO sel_clk_fgpi clk_fgpi Figure 16: VDI_CLK2 Block Diagram PNX15XX_SER_3 Product data sheet Clock Module vip_output_enable_n slice_tst_out tst_clk_vip BLOCKING ...

Page 179

Philips Semiconductors Volume xtal_clk PLL1 GPIO sel_clk_qvcp clk_lcd clk_qvcp_out qvcp_output_enable_n qvcp_output_select invert_clk_qvcp Figure 17: VDO_CLK1 Block Diagram xtal_clk PLL1 UNDEF DDS2 GPIO sel_clk_fgpo_src sel_clk_fgpo clk_fgpo Figure 18: VDO_CLK2 Block Diagram PNX15XX_SER_3 Product data sheet Clock Module qvcp_output_enable_n ...

Page 180

Philips Semiconductors Volume Audio Output Module tps_ao_sck_oen tps_ao_sckout clk_ao_sck_o slice_tst_out DDS3 Figure 19: AO Clocks Audio Input Module tps_ai_sck_oen tps_ai_sckout clk_ai_sck_o slice_tst_out DDS4 Figure 20: AI Clocks PNX15XX_SER_3 Product data sheet Clock Module BLOCKING AO_SCK_CTL slice_tst_clk xtal_clk ...

Page 181

Philips Semiconductors Volume UNDEF PLL1 DDS4 DDS7 sel_clk_lan_clk_src slice_tst_clk Figure 21: PHY LAN Clock Block Diagram CLK_LAN_R/TX Figure 22: Receive and Transmit LAN Clocks PNX15XX_SER_3 Product data sheet tst_clk_lan xtal_clk BLOCKING GPIO sel_clk_lan tst_clk_lan xtal_clk BLOCKING sel_clk_lan ...

Page 182

Philips Semiconductors Volume 2.12.6 SPDO DDS5 Figure 23: SPDO Clock 3. Registers Definition 3.1 Registers Summary Table 10: Registers Summar Offset Name 0x04,7000 PLL0_CTL 0x04,7004 PLL1_CTL 0x04,7008 PLL2_CTL 0x04,700C PLL1_7_CTL 0x04,7010 DDS0_CTL 0x04,7014 DDS1_CTL 0x04,718 DDS2_CTL 0x04,701C ...

Page 183

Philips Semiconductors Volume Table 10: Registers Summar Offset Name 0x04,7124 CLK_IIC_CTL 0x04,7128 CLK_DVDD_CTL 0x04,712C CLK_MMIO_CTL 0x04,7130- RESERVED 0x04,71FC 0x04,7200 CLK_QVCP_OUT_CTL 0x04,7204 CLK_QVCP_PIX_CTL 0x04,7208 CLK_QVCP_PROC_CTL 0x04,720C CLK_LCD_TSTAMP_CTL 0x04,7210 CLK_VIP_CTL 0x04,7214 CLK_VLD_CTL 0x04,7218- RESERVED 0x04,72FC 0x04,7300 AI_OSCLK_CTL 0x04,7304 AI_SCK_CTL ...

Page 184

Philips Semiconductors Volume Table 10: Registers Summar Offset Name 0x04,7FEC INTERRUPT_SET 0x04,7FF0- RESERVED 0x04,7FF8 0x04,7FFC MODULE_ID PNX15XX_SER_3 Product data sheet Description Set Clock Detection interrupts RESERVED Module Identification and revision information Rev. 3 — 17 March 2006 ...

Page 185

Philips Semiconductors Volume 3.2 Registers Description Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s PLL Registers Offset 0x04,7000 PLL0_CTL Reset values set for expected frequencies for faster boot-up, shorter boot code. 31:30 Reserved R/W 29 Turn ...

Page 186

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s Offset 0x04,7008 PLL2_CTL Reset values set for expected frequencies for faster boot-up, shorter boot code. 31:30 Reserved R/W 29 Turn Off Acknowledge R 28 PLL ...

Page 187

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s Offset 0x04,701C DDS3_CTL 31 Enable R/W 30:0 dds3_ctl[30:0] R/W Offset 0x04,7020 DD4_CTL 31 Enable R/W 30:0 dds4_ctl[30:0] R/W Offset 0x04,7024 DDS5_CTL 31 Enable R/W 30:0 ...

Page 188

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 1 pd_108 R/W 0 pd_102 R/W Offset 0x04,7038-0x04,70FCReserved Module Clocks Offset 0x04,7100 CLK_TM_CTL 31:6 Reserved R/W 5 turn_off_ack R 4 tm_stretch_n R/W 3 sel_pwrdwn_clk_mmio W ...

Page 189

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 3 turn_off_ack R 2:1 sel_clk_mem R/W 0 en_clk_mem R/W Offset 0x04,7108 CLK_2DDE_CTL 31:7 Reserved R/W 6 turn_off_ack R 5:3 sel_clk_2dde_src R/W 2:1 sel_clk_2dde R/W 0 ...

Page 190

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 6 turn_off_ack R 5:3 sel_clk_mbs_src R/W 2:1 sel_clk_mbs R/W 0 en_clk_mbs R/W Offset 0x04,7114 CLK_TSTAMP_CTL 31:4 Reserved R/W 3 turn_off_ack R 2:1 sel_clk_tstamp R/W 0 ...

Page 191

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 2:1 sel_clk_lan R/W 0 en_clk_lan R/W Offset 0x04,711C CLK_LAN_RX_CTL 31:4 Reserved R/W 3 turn_off_ack R 2:1 sel_clk_lan_rx R/W 0 en_clk_lan_rx R/W Offset 0x04,7120 CLK_LAN_TX_CTL 31:4 ...

Page 192

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 6 turn_off_ack R 5:3 sel_clk_dvdd_src R/W 2:1 sel_clk_dvdd R/W 0 en_clk_dvdd R/W Offset 0x04,712C CLK_DTL_MMIO_CTL 31:7 Reserved R/W 6 turn_off_ack R 5:3 sel_clk_dtl_mmio_src R/W 2:1 ...

Page 193

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 5 Invert_qvcp_clock R/W 4 qvcp_output_select R/W 3 qvcp_output_enable_n R/W 2:1 sel_clk_qvcp R/W 0 en_clk_qvcp R/W Offset 0x04,7204 CLK_QVCP_PIX_CTL 31:7 Reserved R/W 6 turn_off_ack R 5:3 ...

Page 194

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 0 en_clk_qvcp_pix R/W Offset 0x04,7208 CLK_QVCP_PROC_CTL 31:8 Reserved R/W 7 turn_off_ack R 6:3 sel_clk_qvcp_proc_src R/W 2:1 sel_clk_dtl_mmio R/W 0 en_clk_proc R/W Offset 0x04,720C CLK_LCD_TIMESTAMP_CTL 31:4 ...

Page 195

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 3 vip_output_enable_n R/W 2:1 sel_clk_vip R/W 0 en_clk_vip R/W Offset 0x04,7214 CLK_VLD_CTL 31:7 Reserved R/W 6 turn_off_ack R 5:3 sel_clk_vld_src R/W 2:1 sel_clk_vld R/W 0 ...

Page 196

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 31:3 Reserved R/W 2 turn_off_ack R 1 sel_clk_ai_sck R/W 0 en_clk_ai_sck R/W Offset 0x04,7308 CLK_AO_OSCLK 31:4 Reserved R/W 3 turn_off_ack R 2:1 sel_ao_osclk R/W 0 ...

Page 197

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 4 turn_off_ack R 3 sel_spdi_clk_src R/W 2:1 sel_spdi_clk R/W 0 en_clk_spdi R/W Offset 0x04,7318-0x04,73FCReserved General Purpose Offset 0x04,7400 CLK_GPIO_Q4_CTL 31:4 Reserved R/W 3 turn_off_ack R ...

Page 198

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 2:1 sel_clk_gpio_q6_12_ctl R/W 0 en_clk_gpio_q6_12_ctl R/W Offset 0x04,740C CLK_GPIO_13_CTL 31:4 Reserved R/W 3 turn_off_ack R 2:1 sel_clk_gpio_13_ctl R/W 0 en_clk_gpio_13_ctl R/W Offset 0x04,7410 CLK_GPIO_14_CTL 31:4 ...

Page 199

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 5 fgpo_output_enable_n R/W 4:3 sel_clk_fgpo_src R/W 2:1 sel_clk_fgpo R/W 0 en_clk_fgpo R/W Offset 0x04,7418 CLK_FGPI_CTL 31:6 Reserved R/W 5 turn_off_ack R 4 fgpi_output_enable_n R/W 3 ...

Page 200

Philips Semiconductors Volume Table 11: CLOCK MODULE REGISTERS Acces Bit Symbol s 31:0 count_stretcher_bits R/W Offset 0x04,7504 CLK_WAKEUP_CTL 31:2 count_wakeup_bits R/W 1 external_wakeup_enabl R gpio_interrupt_enable R/W Offset 0x04,7508 CLK_FREQ_CTL 31:5 freq_ctr_bits R/W 4 freq_ctr_done 3:0 ...

Related keywords