XC5VLX220-1FF1760I Xilinx Inc, XC5VLX220-1FF1760I Datasheet - Page 214

FPGA Virtex®-5 Family 221184 Cells 65nm (CMOS) Technology 1V 1760-Pin FCBGA

XC5VLX220-1FF1760I

Manufacturer Part Number
XC5VLX220-1FF1760I
Description
FPGA Virtex®-5 Family 221184 Cells 65nm (CMOS) Technology 1V 1760-Pin FCBGA
Manufacturer
Xilinx Inc
Series
Virtex™-5 LXr

Specifications of XC5VLX220-1FF1760I

Package
1760FCBGA
Family Name
Virtex®-5
Device Logic Units
221184
Typical Operating Supply Voltage
1 V
Maximum Number Of User I/os
800
Ram Bits
7077888
Number Of Logic Elements/cells
221184
Number Of Labs/clbs
17280
Total Ram Bits
7077888
Number Of I /o
800
Voltage - Supply
0.95 V ~ 1.05 V
Mounting Type
Surface Mount
Operating Temperature
-40°C ~ 100°C
Package / Case
1760-BBGA, FCBGA
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
For Use With
HW-AFX-FF1760-500-G - BOARD DEV VIRTEX 5 FF1760
Number Of Gates
-
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
XC5VLX220-1FF1760I
Manufacturer:
Xilinx Inc
Quantity:
10 000
Chapter 5: Configurable Logic Blocks (CLBs)
214
Other Shift Register Applications
Synchronous Shift Registers
Static-Length Shift Registers
Data Out – Q
The data output Q provides the data value (1 bit) selected by the address inputs.
Data Out – Q31 (optional)
The data output Q31 provides the last bit value of the 32-bit shift register. New data
becomes available after each shift-in operation.
Inverting Clock Pins
The clock pin (CLK) has an individual inversion option. The clock signal can be active at
the negative or positive edge of the clock without requiring other logic resources. The
default is positive clock edge.
Global Set/Reset – GSR
The global set/reset (GSR) signal does not affect the shift registers.
The shift-register primitive does not use the register available in the same slice. To
implement a fully synchronous read and write shift register, output pin Q must be
connected to a flip-flop. Both the shift register and the flip-flop share the same clock, as
shown in
X-Ref Target - Figure 5-34
This configuration provides a better timing solution and simplifies the design. Because the
flip-flop must be considered to be the last register in the shift-register chain, the static or
dynamic address should point to the desired length minus one. If needed, the cascadable
output can also be registered in a flip-flop.
The cascadable 32-bit shift register implements any static length mode shift register
without the dedicated multiplexers (F7AMUX, F7BMUX, and F8MUX).
illustrates a 72-bit shift register. Only the last SRLC32E primitive needs to have its address
inputs tied to 0b00111. Alternatively, shift register length can be limited to 71 bits
(address tied to 0b00110) and a flip-flop can be used as the last register. (In an SRLC32E
primitive, the shift register length is the address input + 1).
Address
Figure
CLK
CE
D
5-34.
Figure 5-34: Synchronous Shift Register
(Write Enable)
www.xilinx.com
SRLC32G
Q
Q31
D
FF
Q
Virtex-5 FPGA User Guide
UG190 (v5.3) May 17, 2010
UG190_5_34_050506
Synchronous
Output
Figure 5-35

Related parts for XC5VLX220-1FF1760I