HC230F1020 Altera, HC230F1020 Datasheet - Page 176

no-image

HC230F1020

Manufacturer Part Number
HC230F1020
Description
Manufacturer
Altera
Datasheet

Specifications of HC230F1020

Lead Free Status / RoHS Status
Not Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
HC230F1020
Manufacturer:
ALTERA
0
Part Number:
HC230F1020AJ
Manufacturer:
ALTERA
0
Part Number:
HC230F1020ANQ
Manufacturer:
Discera
Quantity:
2 000
Part Number:
HC230F1020AW
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BA
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BL
Manufacturer:
ALTERA
0
HardCopy Series Handbook, Volume 1
Figure 7–4. Classic Timing-Related Settings in the HardCopy II Advisor
7–12
Classic Timing Analyzer, unlike the TimeQuest timing analyzer,
supports some timing constraints that are incompatible with the
HardCopy II design. In the HardCopy II Advisor, the Remove
Unsupported Global Timing Assignments option and the Remove
Unsupported Instance Timing Assignments option in the Check for
Incompatible Assignments list
constraints that are incompatible with the HardCopy II design flow.
These constraints are explained in
Constraints for Classic Timing Analyzer” on page
Although Quartus II successfully completes timing analysis if you do not
remove these timing constraints, it is very important that you correct all
unsupported timing assignments before you transfer the HardCopy II
design to the HCDC. Failure to remove these incompatible constraints
may result in delays during back-end timing closure.
(
Figure
“Unsupported HardCopy II Timing
7–5)
together list all the timing
7–21.
Altera Corporation
September 2008

Related parts for HC230F1020