XC4VFX12-11FFG668C Xilinx Inc, XC4VFX12-11FFG668C Datasheet - Page 346

no-image

XC4VFX12-11FFG668C

Manufacturer Part Number
XC4VFX12-11FFG668C
Description
IC FPGA VIRTEX-4 FX 12K 668FCBGA
Manufacturer
Xilinx Inc
Series
Virtex™-4r

Specifications of XC4VFX12-11FFG668C

Number Of Logic Elements/cells
12312
Number Of Labs/clbs
1368
Total Ram Bits
663552
Number Of I /o
320
Voltage - Supply
1.14 V ~ 1.26 V
Mounting Type
Surface Mount
Operating Temperature
0°C ~ 85°C
Package / Case
668-BBGA, FCBGA
For Use With
HW-V4-ML403-UNI-G - EVALUATION PLATFORM VIRTEX-4HW-AFX-FF668-400 - BOARD DEV VIRTEX 4 FF668
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Number Of Gates
-

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
XC4VFX12-11FFG668C
Manufacturer:
Xilinx Inc
Quantity:
10 000
Part Number:
XC4VFX12-11FFG668C
Manufacturer:
XILINX
0
Chapter 7: SelectIO Logic Resources
346
Location Constraints
Each IDELAYCTRL module has XY location coordinates (X:row, Y:column). To constrain
placement, IDELAYCTRL instances can have LOC properties attached to them. The
naming convention for IDELAYCTRL placement coordinates is different from the
convention used in naming CLB locations. This allows LOC properties to transfer easily
from array to array.
There are two methods of attaching LOC properties to IDELAYCTRL instances.
1.
2.
Inserting LOC Constraints in a UCF File
The following syntax is used for inserting LOC constraints in a UCF file.
Embedding LOC Constraints Directly into HDL Design Files
The following syntax is used to embed LOC constraints into a Verilog design file.
In VHDL code, the LOC constraint is described with VHDL attributes. Before it can be
used, the constraint must be declared with the following syntax:
Once declared, the LOC constraint can be specified as:
This section describes the VHDL and Verilog use models for instantiating IDELAYCTRL
primitives with LOC constraints.
VHDL Use Model
Insert LOC constraints in a UCF file
Embed LOC constraints directly into HDL design files
INST "instance_name" LOC=IDELAYCTRL_X#Y#;
// synthesis attribute loc of instance_name is "IDELAYCTRL_X#Y0#";
attribute loc : string;
attribute loc of instance_name:label is "IDELAYCTRL_X#Y0#";
-- Multiple instances of IDELAYCTRL primitives are instantiated.
-- Each instance has its own RST and RDY signal to allow for partial
-- reconfiguration.
-- The REFCLK signal is common to all instances
dlyctrl_1:IDELAYCTRL
dlyctrl_2:IDELAYCTRL
.
.
.
dlyctrl_n:IDELAYCTRL
port map(
port map(
port map(
www.xilinx.com
);
);
RDY => rdy _1,
REFCLK => refclk,
RST => rst_1
RDY => rdy _2,
REFCLK => refclk,
RST => rst_2
RDY => rdy _n,
REFCLK => refclk,
UG070 (v2.6) December 1, 2008
Virtex-4 FPGA User Guide
R

Related parts for XC4VFX12-11FFG668C