SW-QUARTUS-SE-FIX Altera, SW-QUARTUS-SE-FIX Datasheet - Page 125

QUARTUS II ANNUAL SUBSCRIPTION

SW-QUARTUS-SE-FIX

Manufacturer Part Number
SW-QUARTUS-SE-FIX
Description
QUARTUS II ANNUAL SUBSCRIPTION
Manufacturer
Altera
Type
Design Softwarer
Series
QUARTUS IIr

Specifications of SW-QUARTUS-SE-FIX

Mfg Application Notes
Software Licensing App Note
Core Architecture
CPLD, FPGA
Supported Families
Quartus II, Nios II
Software Edition
Standard
License Type
Fixed - Node
Supported Hosts
Windows
Rohs Compliant
NA
For Use With/related Products
Altera Devices
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Other names
544-1247
FIXEDPC

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
SW-QUARTUS-SE-FIX
Manufacturer:
Altera
Quantity:
135
C
F
116
ORMAL
HAPTER
f
V
ERIFICATION
8: EDA T
I
NTRODUCTION TO THE
Figure 4. File Types Compared in Formal Verification
Using the Cadence Encounter
Conformal Software
You can use the Cadence Encounter Conformal software to perform formal
verification on your Quartus II designs. The formal verification software
determines whether or not the Quartus II software correctly interprets the
logic in the Verilog Quartus Mapping file or the source VHDL or
Verilog HDL design file during synthesis and fitting.
For Information About
Using Cadence Encounter Conformal
software
OOL
Gate-Level Formal Verification
RTL-Level Formal Verification
(Supported for Cadence Encounter Conformal Only)
S
UPPORT
Q
UARTUS
Verilog Quartus
Mapping
Files (.vqm)
RTL Verilog HDL or
VHDL source design
files (.v, .vhd)
II S
Compared with
Compared with
OFTWARE
Refer To
Cadence Encounter Conformal Support
chapter in volume 3 of the Quartus II
Handbook
“About Using the Encounter Conformal
Software with the Quartus II Software” in
Quartus II Help
Quartus II-generated
Verilog Output
Files (.vo)
Quartus II-generated
Verilog Output
Files (.vo)
A
LTERA
C
ORPORATION

Related parts for SW-QUARTUS-SE-FIX