SW-QUARTUS-SE-FIX Altera, SW-QUARTUS-SE-FIX Datasheet

QUARTUS II ANNUAL SUBSCRIPTION

SW-QUARTUS-SE-FIX

Manufacturer Part Number
SW-QUARTUS-SE-FIX
Description
QUARTUS II ANNUAL SUBSCRIPTION
Manufacturer
Altera
Type
Design Softwarer
Series
QUARTUS IIr

Specifications of SW-QUARTUS-SE-FIX

Mfg Application Notes
Software Licensing App Note
Core Architecture
CPLD, FPGA
Supported Families
Quartus II, Nios II
Software Edition
Standard
License Type
Fixed - Node
Supported Hosts
Windows
Rohs Compliant
NA
For Use With/related Products
Altera Devices
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Other names
544-1247
FIXEDPC

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
SW-QUARTUS-SE-FIX
Manufacturer:
Altera
Quantity:
135
Altera Software Installation and Licensing Manual <edit
Document Type variable in cover>
101 Innovation Drive
San Jose, CA 95134
www.altera.com
MNL-1059-1.0
Altera Software Installation and Licensing Manual
Document last updated for Altera Complete Design Suite version:
Document publication date:
December 2010
10.1

Related parts for SW-QUARTUS-SE-FIX

SW-QUARTUS-SE-FIX Summary of contents

Page 1

... Altera Software Installation and Licensing Manual <edit Document Type variable in cover> Altera Software Installation and Licensing Manual 101 Innovation Drive San Jose, CA 95134 www.altera.com MNL-1059-1.0 Document last updated for Altera Complete Design Suite version: Document publication date: 10.1 December 2010 ...

Page 2

... Altera’s standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services ...

Page 3

... Chapter 2. Licensing Altera Software Licensing Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–1 Supported Software Subscriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–1 Development Kits Containing the Quartus II Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2 Non-Licensed Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2 Altera University Program Software Licenses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2 Quartus II Software Evaluation Period . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2 Obtaining a License File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–3 Licensing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–3 NIC 2–3 Hard-Disk Serial Number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2– ...

Page 4

... Modifying the Network License File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–12 Specifying the License for Intellectual Property (IP) Cores, DSP Builder, or Intellectual Property (IP) and Development Kits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–13 Specify the License for the ModelSim-Altera Edition Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–14 Licensing for the Nios II Embedded Design Suite . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–15 Transferring a License File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–15 Upgrading or Setting Up a License Manager Server . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2– ...

Page 5

... Complete Design Suite DVDs, which are available by request via the Software DVD Request Altera Software You can download the following software from the Download Center of the Altera website: ■ Altera Installer—an on-demand download manager that downloads and installs the software that you specify, including device support, and any of the software listed under Individual Components ...

Page 6

... System Requirements To successfully install and run Altera software, your system must meet the minimum requirements described in this section. Hardware ■ Intel Pentium III PC running at 866 MHz or faster with 256 MB system memory running Microsoft Windows. PCs running Windows XP are capable of running the 32-bit version of the ■ ...

Page 7

... Quartus II software for Linux accessible by a Windows workstation over a network. Uninstalling Altera Software To uninstall a previous version of Altera software: ■ For Windows, on the Windows Start menu, point to Programs, point to Altera, and then: Click Modify Altera Complete Design Suite <version number>. or Point to <software name> <version number>, and then click Uninstall <software name> ...

Page 8

... Individual Components You can choose to download and install Altera software for Windows or Linux, listed in “Altera Software” on page If you download and install the Quartus II software individually, you must also download and install device support separately ...

Page 9

... Download Center of the Altera website. ■ You can install multiple copies of the same version of Altera software on the same computer. Subsequent installations of the software can have a user-defined name and you can designate the copy of the Altera software to receive service packs. ...

Page 10

... Installing software at the command prompt—you can install Altera software at the command line with a custom installation script using command-line arguments. You can download and immediately install the software, or download the software first, and then install later. Installing software with the Altera Complete Design Suite DVDs— ...

Page 11

... Update each user’s PATH environment variable in the .cshrc file or .bashrc file, which is located in the home directory, to include the bin directory in the Quartus II system directory, which is usually the <home directory>/altera/<version number>/quartus/bin directory for Linux. Where <home directory> is the default directory of your Linux workstation set by your system administrator ...

Page 12

... Quartus II software. The 64-bit version of the Quartus II software is installed automatically during a default installation. To start the Quartus II software, on the Windows Start menu, point to Programs, point to Altera, point to Quartus II <version number>, and then point to Quartus II <version number> (32-bit) or Quartus II < version number> (64-bit). or Type the following command at a command prompt: < ...

Page 13

... This chapter describes Altera software licensing options and procedures. To set up your license for Altera software, follow these steps, which are described in detail in this chapter: 1. Select a licensing option, which are described in 2. Obtain a license file as described in 3. Set up the license file as described in 4 ...

Page 14

... ID provided with the order is associated with the computer on which the development kit software will be installed. Providing the corresponding NIC ID allows you to automatically download your development kit software license from the Altera website. To update the NIC ID for your license file, refer to License” on page f ...

Page 15

... Software guards are supported for the Quartus II software version 7.2 and earlier. (2) The Quartus II Web Edition and ModelSim-Altera Starter Edition versions 8.1 and later do not require a license file. (3) Because Intellectual Property (IP) and development kit licenses are added as feature lines in the Quartus II software license file, the licensing requirements depend on the Quartus II software license type ...

Page 16

... Network Interface Card (NIC) ID field. Hard-Disk Serial Number The hard-disk serial number is an eight-character hexadecimal ID that identifies the PC with the Altera license. If the ID is not eight characters, include a leading zero. To find the hard-disk serial number on a Windows PC, type the following command at a command prompt: ...

Page 17

... Self-Service Licensing Center Altera Licensing f If you want to obtain a license file for Altera software or IP with an expiration date prior to January 1, 2009 the To obtain a license file, follow these steps: 1. Start the Quartus II software as described in the on page 1– ...

Page 18

... Quartus II Help. You can access the Self-Service Licensing Center from the Altera Licensing page, the mySupport page, or from the Download Center. You must have a myAltera account to access the Self-Service Licensing Center. f For more information about myAltera accounts, refer to Obtaining a License File You can obtain a license file for an existing, new, or renewed license ...

Page 19

... To add computers to your myAltera account profile, follow the procedure in “Creating a Computer”. Creating a Computer You can create computer profiles for your myAltera account to which you can assign licenses. 1. Log on to your myAltera account from the Altera website. 2. Access the Self-Service Licensing Center. ...

Page 20

... Your license file is sent to the email address specified for your licensed user. If you have not specified an email address for your licensed user, your license file is sent to the email address in your myAltera account profile. Your computer license type must match the license type of any license to which you want to want to assign your computer ...

Page 21

... Buy Design Software page of the Altera website, before applying a renewal. 1. Log on to your myAltera account from the Altera website. 2. Access the Self-Service Licensing Center. 3. Click Apply Renewals to access the Apply Renewals page. ...

Page 22

... Setting Up a Fixed License To set up a fixed license file, follow these steps: 1. Make a backup copy of any existing license.dat file for reference. Altera Software Installation and Licensing Manual Chapter 2: Licensing Altera Software Setting Up the License File December 2010 Altera Corporation ...

Page 23

... Chapter 2: Licensing Altera Software Setting Up the License File 2. Save the new license.dat file on your local hard drive. Altera recommends saving and using the license.dat file attached to the licensing email you received from Altera you are using the Quartus II software version 7.2 or earlier, attach the software guard to a parallel or USB port on your computer ...

Page 24

... You can set up a floating network license on a Windows, Solaris, or Linux license server using the FLEXlm license manager software provided by Altera. Altera software uses the FLEXlm software to administer licensing for single or multiple users in a network installation. Follow the procedure in Network License File” on page 2–12 start the FLEXlm license manager ...

Page 25

... If the server path has spaces in it, enclose the full path in quotation marks. (4) If you are not using a license file for the ModelSim-Altera software, you may delete this line. (5) Quartus II software versions 8.0 and later do not support the Sun Solaris operating system. However, Altera software does support Sun Solaris license servers. ...

Page 26

... OpenCore Plus Evaluation of Specify the License for the ModelSim-Altera Edition Software 1 The ModelSim-Altera Starter Edition software versions 6.4g and later do not require a license. The ModelSim-Altera Edition software supports licenses using the Mentor Graphics license daemon mgcld. You can find the mgcld daemon in the following directories: Windows: < ...

Page 27

... Upgrading the FLEXlm License Manager Server Software To support network licensing, the Quartus II software requires the FLEXlm license manager server software version 9.5 or later. The Altera Installer for Windows installs the FLEXlm software version 9.5 on Windows 32-bit systems or version 11.1.1 on Windows XP Professional x64 Edition and Windows Vista (64-bit) systems. The Altera Installer for Linux automatically installs version 9 ...

Page 28

... If the lmgrd or alterad daemons are not from the FLEXlm software version 9.5 or later, you must upgrade both daemons with the versions provided with the Quartus II software. h For more information about upgrading an older version of the FLEXlm software, refer ...

Page 29

... Select the name of the license server, usually Flexlm License Server. 4. Click the Config Services tab. 5. Turn on Use Services. 6. Turn on Start Server at Power Up. December 2010 Altera Corporation “Configuring a New License for more information. “Configuring a New License Server” on page 2–16 Altera Software Installation and Licensing Manual 2–17 for more ...

Page 30

... You can also install the FLEXlm software on another license server with the Install button next to FLEXlm Server in the Altera Complete Design Suite DVDs setup program. Refer to information. Installing a Sentinel Software Guard If your installation of the Quartus II software for Windows uses a node-locked (single-user) fixed license and includes a Sentinel software guard, you must install the Sentinel driver and software guard before you specify a license file ...

Page 31

... QUARTUS_ROOTDIR_OVERRIDE—The QUARTUS_ROOTDIR_OVERRIDE environment variable specifies the name of the Quartus II system directory. The default directory is <home directory> /altera <version number> (Linux) or <Quartus II system directory>\altera\ <version number>\quartus (Windows). If the system displays an error message indicating that Quartus II software files cannot be found when you start the program, you should set the QUARTUS_ROOTDIR_OVERRIDE environment variable to the name of the Quartus II system directory ...

Page 32

... LD_LIBRARY_PATH variable required by some EDA tools. You should set this environment variable to the EDA tool’s LD_LIBRARY_PATH variable if the EDA tool requires an LD_LIBRARY_PATH environment variable. Altera Software Installation and Licensing Manual Chapter 3: Quartus II Environment Variables Quartus II NativeLink Environment Variables ...

Page 33

... Figure A–1 and software with the Altera Installer. The modelsim_ae directory is present if you install the ModelSim-Altera Edition software. A modelsim_ase directory is present if you install the ModelSim-Altera Starter Edition software. Figure A–1. Windows Altera Software Directory (<drive>:\altera\<version number>) quartus Figure A– ...

Page 34

... A–2 The Quartus II software directory, \altera\<version number>\quartus (Windows) or <home directory>/altera<version number>/quartus (Linux) includes the subdirectories shown in Figure A–3 Figure A–3. Windows Quartus II Software Directory (<drive>:\altera\<version number>\quartus) bin64 cusp dsp_builder bin include synthinclude systemc bin ...

Page 35

... This section describes the different parts of the Altera license file (license.dat). License File Header Altera license files have headers that contain identifying information specific to the license, such as the type of license, the primary computer and companion IDs, issue and expiration dates, and a product license summary. ...

Page 36

... Altera Software Installation and Licensing Manual Appendix B: License File Description and Examples Description The host name of the server in the license.dat file. The PC NIC or UNIX Host ID of the server. You must supply this ID to Altera when purchasing the license. The port number. The vendor daemon name: alterad daemon = Quartus II software ■ ...

Page 37

... The name of the ModelSim license issuer. sign An authorization code generated by Altera. Enables remote login for fixed license Quartus II software (includes Altera IP, Nios II Software Build TS_OK Tools for Eclipse, and DSP Builder). Table B–3 describes the available Altera license features. This table does not include license features for any intellectual property (IP), development kits, or special license codes used for the Quartus II Limited Edition software ...

Page 38

... ModelSim-Altera Verilog HDL Simulator alteramtivlog Notes: (1) The alteramtivsim license subscription supports both VHDL and Verilog HDL, but the software does not support mixed language simulation for ModelSim-Altera version 6.4a and later. For ModelSim-Altera version 6.3g_p1and earlier, the alteramtivsim license subscription supports VHDL only. ...

Page 39

... Figure B–4 shows a license.dat file that contains a license for the Quartus II software, the ModelSim-Altera Edition software, and the IP Base Suite. This license specifies that two users can run the software simultaneously, and works when the PC with NIC ID 00B0D0ABCCBA is set license server and the users point to the license location. Figure B– ...

Page 40

... Manager Server” on page Linked procedures to Help topics. ■ Overall editorial updates. ■ Updated the manual to incorporate changes made to the Altera Installer and the Self-Service July 2010 10.0.0 Licensing Center. The new manual merges content from the Quartus II Software Installation & Licensing for November 2009 9 ...

Page 41

... Technical training Product literature Non-technical support (General) (Software Licensing) Note to Table: (1) You can also contact your local Altera sales office or sales representative. Typographic Conventions The following table shows the typographic conventions this document uses. Visual Cue Bold Type with Initial Capital ...

Page 42

... A warning calls attention to a condition or possible situation that can cause you injury. The envelope links to the Email Subscription Management Center website, where you can sign up to receive update notifications for Altera documents. Info–3 page of the Altera Altera Software Installation and Licensing Manual ...

Related keywords