AN2094 Freescale Semiconductor / Motorola, AN2094 Datasheet - Page 47

no-image

AN2094

Manufacturer Part Number
AN2094
Description
ITU-T G.729 Implementation on StarCore SC140
Manufacturer
Freescale Semiconductor / Motorola
Datasheet
Freescale Semiconductor
}
# close all files
close(fin);
#########################################
# Overall statistics
#########################################
$average = int($sum / $count);
print "-" x 74;
print "\nOverall Test\n"; print "-" x 20;
print "\nThe average
print " " x (10-length($average)); print "$average";
print "\nThe worst_case =";
print " " x (10-length($max_cycles)); print "$max_cycles (in $max_name)\n";
}
# if the time per last frame is greater than current maximum, keep it
if ($cycles > $max_cycles_test)
{
}
# run summarizy routine if end of test case
if ($count == $frames[$test_count])
{
}
ITU-T G.729 Implementation on the StarCore™ SC140/SC1400 Cores, Rev. 1
$frames_in_test = $frames[$test_count]-$frames[$test_count-1];
# print a new table line
print "| $name[$test_count]";
print " " x (9-length($name[$test_count]));
$average = int($sum_test / ($frames_in_test));
print "|";
print " " x (11-length($average));
print "$average";
print " |";
print " " x (18-length($max_cycles_test));
print "$max_cycles_test";
print " |";
print " " x (11-length($frames_in_test));
print "$frames_in_test";
print " |";
# form the name of the test case file depending on module
if ($module eq "coder")
{
}
if ($module eq "decoder")
{
}
# compare the simulation result with the reference output
if (compare($file_ref,$file_result)==0)
{
}
else
{
}
print "
# record the worst-case value and test name
if ($max_cycles_test > $max_cycles)
{
}
# reinitialize the counters for next test case
$sum_test = 0;
$max_cycles_test = 0;
$test_count++;
$max_cycles_test = $cycles;
$file_ref="reference/ref_bit/".$name[$test_count].".bit";
$file_result="results/".$name[$test_count].".bit";
$file_ref="reference/ref_pst/".$name[$test_count].".pst";
$file_result="results/".$name[$test_count].".pst";
print "
print "
$max_cycles = $max_cycles_test;
$max_name
| \n";
=";
= $name[$test_count];
passed";
FAILED";
#
References
47

Related parts for AN2094