3222/3223-DB1 Silicon Laboratories Inc, 3222/3223-DB1 Datasheet

no-image

3222/3223-DB1

Manufacturer Part Number
3222/3223-DB1
Description
EVAL BOARD FOR IA3222/3223
Manufacturer
Silicon Laboratories Inc
Series
EZ DAA™r
Datasheets

Specifications of 3222/3223-DB1

Main Purpose
Telecom, Data Acquisition Arrangement (DAA)
Embedded
Yes, FPGA / CPLD
Utilized Ic / Part
IA3222, IA3223
Primary Attributes
-
Secondary Attributes
-
Lead Free Status / Rohs Status
Lead free / RoHS Compliant
IA3222/3223 D
Description
The IA3222/3223 Demo Board is a typical application
circuit that exhibits all the features of the IA3222/3223
chipset. The chipset can be programmed by software to
pass PTT certification worldwide. The integrated V.92
DAA offers an easy-to-use analog interface with an
internal
interfacing to a variety of systems. It allows easy
building-block integration where audio codecs are either
separate or integrated into DSPs. It is also ideal for non-
modem systems requiring isolated DAAs, such as alarm
systems, VoIP, and PBX FXO interfaces.
The CPLD interposer allows stand-alone evaluation of
the chipset. This simple circuit takes the place of a
microcontroller that would normally send off-hook
commands and other settings to the IA3223's serial
port. Instead of having to program a microcontroller, the
user may control the IA3223's registers using manual
switches.
Rev. 0.1 3/10
or
external
dc
E MO
reference
B
Copyright © 2010 by Silicon Laboratories
OA RD A N D
for seamless
C P L D I
I A 3 2 2 2 / 3 2 2 3 - E V B
Features
Programmable worldwide telecom compliance with
one hardware build
V.92 (56 kbps) performance
Virtually unlimited high-voltage insulation
Highly-competitive BOM cost
Lowest pin count (26) chipset
High common-mode RF immunity without costly
filtering
Continuous dc and audio snooping with >5 M Tip
to Ring
Parallel pick-up, line-in-use, ring, and "911" detection
–86 dBm receiver noise floor
+3 dBm transmit power
Micropower line-side device powered from line
120 dB Caller ID common-mode rejection
N T E R P O S E R
U
S E R
IA3222/3223-EVB
S
G
UIDE

Related parts for 3222/3223-DB1

3222/3223-DB1 Summary of contents

Page 1

... IA3222/3223 Description The IA3222/3223 Demo Board is a typical application circuit that exhibits all the features of the IA3222/3223 chipset. The chipset can be programmed by software to pass PTT certification worldwide. The integrated V.92 DAA offers an easy-to-use analog interface with an internal or external dc reference interfacing to a variety of systems. It allows easy building-block integration where audio codecs are either separate or integrated into DSPs ...

Page 2

... IA3222/3223-EVB 1. IA3222/3223 Demo Board Photographs 2 Figure 1. IA3222/3223 Demo Board Figure 2. CPLD Interposer Preliminary Rev. 0.1 ...

Page 3

... Figure 3. Demo Board and Interposer Preliminary Rev. 0.1 IA3222/3223-EVB 3 ...

Page 4

... Demo Board must be plugged into connector J2 on the Interposer as shown in Figure 3. The DAA Modem Side is powered via the Interposer. Table 1 lists the DAA registers, which can be programmed via DIP switch banks on the Interposer Board. A complete description of these registers can be found in the IA3222/3223 data sheet ...

Page 5

... Refer to the data sheet for internal or external clock register settings. 2.1.1. Jumper Setting A single jumper is available on the IA3222/3223 Demo Board. When it is open, the state of the hook switch is controlled by the serial port. When it is closed, the DAA goes off-hook regardless of the programmed hook-switch state ...

Page 6

... IA3222/3223-EVB 3. Demo Board Schematics HCAP 5 VDD 10 GND 9 Preliminary Rev. 0.1 ...

Page 7

... GNDIO GNDIO 11 A LAB I/O D LAB I LAB I/O D LAB I LAB I/O TCK 14 TMS C LAB I LAB I/O C LAB I LAB I/O GNDIO 17 VccIO C LAB I LAB I/O C LAB I LAB I/O C LAB I LAB I LAB I LAB I/O GNDIO LAB I LAB I/O VccIO 25 Preliminary Rev. 0.1 IA3222/3223-EVB ...

Page 8

... IA3222/3223-EVB 4. Demo Board Bill of Materials Quantity Table 3. Demo Board Bill of Materials Reference BR1 C1 6.8 nF 200 V 0805 C2 C3, C15 100 0603 200 V 0805 100 V 0603 100 V 1206 C10 2.7 nF 100 V 0805 C11 330 0603 C12 0603 C13 220 0603 C14 4.7 nF 100 V 0805 C16 2.2 µ ...

Page 9

... R31, R32, R33, R34, R35 R39 R40 SW1, SW2, SW3 SW4 SW5 SW SPDT momentary U1 EPM3128ATC100- MHz DIP14 oscillator Preliminary Rev. 0.1 IA3222/3223-EVB Description 10 µF A 0.1 µF 0603 LED green 1 k 0603 47 k 0603 68 0603 N/P 0603 470 k SW DIP-8 SW SPDT ...

Page 10

... IA3222/3223-EVB 6. Interposer Board CPLD Pin Assignment Pin name : Pin # : Type --------------------------- reg_0[ input reg_0[ input reg_0[ input reg_0[ input reg_1[ input reg_1[ input reg_1[ input reg_1[ input reg_2[ input reg_2[ input reg_2[ input reg_2[ input reg_3[ input reg_3[ input reg_3[ input reg_3[0] ...

Page 11

... Preliminary Rev. 0.1 IA3222/3223-EVB 11 ...

Page 12

... IA3222/3223-EVB /**************** p502_spi_model debouncing circuit **************/ // add on 07-12-06 reg d0_offhook; reg d1_offhook; reg d2_offhook, d3_offhook, d4_offhook, d5_offhook; reg d6_offhook, d7_offhook, d8_offhook, d9_offhook; wire offhook_wr = (d0_offhook & ~d1_offhook) | (~d0_offhook & d1_offhook); // wire reg_0_sel = d2_offhook | d3_offhook | d4_offhook | d5_offhook | // d6_offhook | d7_offhook | d8_offhook | d9_offhook; wire total_offhk = d2_offhook | d3_offhook | d4_offhook | d5_offhook | d6_offhook | d7_offhook | d8_offhook | d9_offhook | offhook ...

Page 13

... IA3222/3223-EVB Preliminary Rev. 0.1 13 ...

Page 14

... IA3222/3223-EVB else begin d2_offhook <= offhook; d3_offhook <= d2_offhook; d4_offhook <= d3_offhook; d5_offhook <= d4_offhook; d6_offhook <= d5_offhook; d7_offhook <= d6_offhook; d8_offhook <= d7_offhook; d9_offhook <= d8_offhook; end always @(posedge clk or negedge rstb) if (rstb==0) begin d0_offhook <= 0; d1_offhook <= 0; end else begin d0_offhook <= offhook; d1_offhook <= d0_offhook; end reg w0_offhook, w1_offhook; ...

Page 15

... Preliminary Rev. 0.1 IA3222/3223-EVB 15 ...

Page 16

... IA3222/3223-EVB 3'b000 : data = {4'b0000,t_reg_0}; 3'b001 : data = {4'b0001,reg_1}; 3'b010 : data = {4'b0010,reg_2}; 3'b011 : data = {4'b0011,reg_3}; 3'b100 : data = {4'b0101,reg_5}; default: data = 8'b00000000; endcase always @(posedge clk_16 or negedge rstb) if (rstb==0) t_wk_read <= 1'b0; else t_wk_read <= rden; always @(negedge clk or negedge rstb) if (rstb==0) wk_read <= 1'b0; else wk_read <= t_wk_read; ...

Page 17

... IA3222/3223-EVB else if (read_cnt==8'h04 & bit_cnt==3'b111) lp <= dout; Preliminary Rev. 0.1 17 ...

Page 18

... IA3222/3223-EVB C I ONTACT NFORMATION Silicon Laboratories Inc. 400 West Cesar Chavez Austin, TX 78701 Tel: 1+(512) 416-8500 Fax: 1+(512) 416-9669 Toll Free: 1+(877) 444-3032 Please visit the Silicon Labs Technical Support web page: https://www.silabs.com/support/pages/contacttechnicalsupport.aspx and register to submit a technical support request. The information in this document is believed to be accurate in all respects at the time of publication but is subject to change without notice. ...

Related keywords