LFXP3E-4TN100I Lattice, LFXP3E-4TN100I Datasheet - Page 158

no-image

LFXP3E-4TN100I

Manufacturer Part Number
LFXP3E-4TN100I
Description
IC FPGA 3.1KLUTS 62I/O 100-TQFP
Manufacturer
Lattice
Datasheet

Specifications of LFXP3E-4TN100I

Lead Free Status / Rohs Status
Lead free / RoHS Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
LFXP3E-4TN100I
Manufacturer:
Lattice Semiconductor Corporation
Quantity:
10 000
Lattice Semiconductor
Verilog for Synplify
This section lists syntax and examples for all the sysIO Attributes in Verilog using the Synplify synthesis tool.
Syntax
Table 8-9. Verilog Synplify Attribute Syntax
Examples
//IO_TYPE, PULLMODE, SLEWRATE and DRIVE assignment
output portB /*synthesis IO_TYPE="LVCMOS33" PULLMODE =”UP” SLEWRATE =”FAST”
DRIVE =”20”*/;
output portC /*synthesis IO_TYPE="LVDS25" */;
//OPENDRAIN
output portA /*synthesis OPENDRAIN =”ON”*/;
//PCICLAMP
output portA /*synthesis IO_TYPE="PCI33" PULLMODE =”PCICLAMP”*/;
// Fixeddelay
input load /* synthesis FIXEDDELAY="TRUE" */;
// Place the flip-flops near the load input
input load /* synthesis din=”” */;
// Place the flip-flops near the outload output
output outload /* synthesis dout=”” */;
IO_TYPE
OPENDRAIN
DRIVE
PULLMODE
PCICLAMP
SLEWRATE
FIXEDDELAY
DIN
DOUT
LOC
Attribute
PinType PinName /* synthesis IO_TYPE=”IO_Type Value”*/;
PinType PinName /* synthesis OPENDRAIN =”OpenDrain Value”*/;
PinType PinName /* synthesis DRIVE=”Drive Value”*/;
PinType PinName /* synthesis PULLMODE=”Pullmode Value”*/;
PinType PinName /* synthesis PCICLAMP =” PCIClamp Value”*/;
PinType PinName /* synthesis SLEWRATE=”Slewrate Value”*/;
PinType PinName /* synthesis FIXEDDELAY=”Fixeddelay Value”*/;
PinType PinName /* synthesis DIN=” “*/;
PinType PinName /* synthesis DOUT=” “*/;
PinType PinName /* synthesis LOC=”pin_locations “*/;
8-18
Syntax
LatticeECP/EC and LatticeXP
sysIO Usage Guide

Related parts for LFXP3E-4TN100I