AD9643BCPZ-210 Analog Devices Inc, AD9643BCPZ-210 Datasheet

no-image

AD9643BCPZ-210

Manufacturer Part Number
AD9643BCPZ-210
Description
IC ADC 14BIT SRL 210MSPS 64LFCSP
Manufacturer
Analog Devices Inc
Datasheet

Specifications of AD9643BCPZ-210

Sampling Rate
210MSPS
Input Channel Type
Differential, Single Ended
Data Interface
3-Wire, Serial
Supply Voltage Range - Analog
1.7V To 1.9V
Supply Voltage Range - Digital
1.7V To 1.9V
Rohs Compliant
Yes
Resolution (bits)
14bit
Lead Free Status / Rohs Status
Lead free / RoHS Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
AD9643BCPZ-210
Manufacturer:
ST
Quantity:
1 000
Part Number:
AD9643BCPZ-210
Manufacturer:
ADI/亚德诺
Quantity:
20 000
FEATURES
SNR = 70.6 dBFS at 185 MHz A
SFDR = 85 dBc at 185 MHz A
−151.6 dBFS/Hz input noise at 185 MHz, −1 dBFS A
Total power consumption: 785 mW at 250 MSPS
1.8 V supply voltages
LVDS (ANSI-644 levels) outputs
Integer 1-to-8 input clock divider (625 MHz maximum input)
Sample rates of up to 250 MSPS
IF sampling frequencies of up to 400 MHz
Internal ADC voltage reference
Flexible analog input range
ADC clock duty cycle stabilizer
95 dB channel isolation/crosstalk
Serial port control
Energy saving power-down modes
User-configurable, built-in self-test (BIST) capability
APPLICATIONS
Communications
Diversity radio systems
Multimode digital receivers (3G)
I/Q demodulation systems
Smart antenna systems
General-purpose software radios
Ultrasound equipment
Broadband data applications
GENERAL DESCRIPTION
The AD9643 is a dual, 14-bit analog-to-digital converter (ADC)
with sampling speeds of up to 250 MSPS. The AD9643 is designed
to support communications applications, where low cost, small
size, wide bandwidth, and versatility are desired.
The dual ADC cores feature a multistage, differential pipelined
architecture with integrated output error correction logic. Each
ADC features wide bandwidth inputs supporting a variety of
user-selectable input ranges. An integrated voltage reference
eases design considerations. A duty cycle stabilizer is provided
to compensate for variations in the ADC clock duty cycle,
allowing the converters to maintain excellent performance.
The ADC output data is routed directly to the two external
14-bit LVDS output ports and formatted as either interleaved or
channel multiplexed.
Flexible power-down options allow significant power savings,
when desired.
Rev. A
Information furnished by Analog Devices is believed to be accurate and reliable. However, no
responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other
rights of third parties that may result from its use. Specifications subject to change without notice. No
license is granted by implication or otherwise under any patent or patent rights of Analog Devices.
Trademarks and registered trademarks are the property of their respective owners.
1.4 V p-p to 2.0 V p-p (1.75 V p-p nominal)
TD-SCDMA, WiMax, WCDMA, CDMA2000, GSM, EDGE, LTE
250 MSPS
IN
and 250 MSPS
IN
and 250 MSPS
14-Bit, 170 MSPS/210 MSPS/250 MSPS, 1.8 V
IN
and
Dual Analog-to-Digital Converter ( ADC)
One Technology Way, P.O. Box 9106, Norwood, MA 02062-9106, U.S.A.
Tel: 781.329.4700
Fax: 781.461.3113
Programming for setup and control are accomplished using a
3-wire SPI-compatible serial interface.
The AD9643 is available in a 64-lead LFCSP and is specified over
the industrial temperature range of −40°C to +85°C. This
product is protected by a U.S. patent.
PRODUCT HIGHLIGHTS
1. Integrated dual, 14-bit, 170 MSPS/210 MSPS/250 MSPS ADCs.
2. Operation from a single 1.8 V supply and a separate digital
3. Proprietary differential input maintains excellent SNR
4. SYNC input allows synchronization of multiple devices.
5. 3-pin, 1.8 V SPI port for register programming and register
6. Pin compatibility with the AD9613, allowing a simple
VIN+B
VIN+A
VIN–A
VIN–B
NOTES
1. THE D0± TO D13± PINS REPRESENT BOTH THE CHANNEL A
VCM
AND CHANNE L B LVDS OUTPUT DATA.
output driver supply accommodating LVDS outputs.
performance for input frequencies of up to 400 MHz.
readback.
migration down from 14 bits to 12 bits. This part is also pin
compatible with the
REFERENCE
AD9643
SCLK
FUNCTIONAL BLOCK DIAGRAM
SERIAL PORT
SDIO
AVDD
PIPELINE
PIPELINE
14-BIT
14-BIT
ADC
ADC
AD6649
©2011 Analog Devices, Inc. All rights reserved.
CSB
AGND
Figure 1.
14
14
and the AD6643.
CLK+
PARALLEL
DDR LVDS
DRIVERS
DIVIDER
CLOCK
1 TO 8
AND
DRVDD
CLK–
AD9643
SYNC
www.analog.com
OEB
PDWN
D0±
D13±
DCO±
OR±
.
.
.
.
.

Related parts for AD9643BCPZ-210

AD9643BCPZ-210 Summary of contents

Page 1

FEATURES SNR = 70.6 dBFS at 185 MHz A and 250 MSPS IN SFDR = 85 dBc at 185 MHz A and 250 MSPS IN −151.6 dBFS/Hz input noise at 185 MHz, −1 dBFS A 250 MSPS Total power consumption: ...

Page 2

AD9643 TABLE OF CONTENTS Features .............................................................................................. 1 Applications ....................................................................................... 1 Functional Block Diagram .............................................................. 1 General Description ......................................................................... 1 Product Highlights ........................................................................... 1 Revision History ............................................................................... 2 Specifications ..................................................................................... 3 ADC DC Specifications ............................................................... 3 ADC AC Specifications ............................................................... 4 ...

Page 3

SPECIFICATIONS ADC DC SPECIFICATIONS AVDD = 1.8 V, DRVDD = 1.8 V, maximum sample rate, VIN = −1.0 dBFS differential input, 1.75 V p-p full-scale input range, duty cycle stabilizer (DCS) enabled, unless otherwise noted. Table 1. Parameter Temperature RESOLUTION ...

Page 4

AD9643 ADC AC SPECIFICATIONS AVDD = 1.8 V, DRVDD = 1.8 V, maximum sample rate, VIN = −1.0 dBFS differential input, 1.75 V p-p full-scale input range, unless otherwise noted. Table 2. 1 Parameter Temperature SIGNAL-TO-NOISE-RATIO (SNR ...

Page 5

Parameter Temperature 2 CROSSTALK Full 3 FULL POWER BANDWIDTH 25°C 4 NOISE BANDWIDTH 25°C 1 See the AN-835 Application Note, Understanding High Speed ADC Testing and Evaluation, for a complete set of definitions. 2 Crosstalk is measured at 100 ...

Page 6

AD9643 DIGITAL SPECIFICATIONS AVDD = 1.8 V, DRVDD = 1.8 V, maximum sample rate, VIN = −1.0 dBFS differential input, 1.75 V p-p full-scale input range, DCS enabled, unless otherwise noted. Table 3. Parameter DIFFERENTIAL CLOCK INPUTS (CLK+, CLK−) Logic ...

Page 7

Parameter DIGITAL OUTPUTS LVDS Data and OR Outputs Differential Output Voltage (VOD), ANSI Mode Output Offset Voltage (VOS), ANSI Mode Differential Output Voltage (VOD), Reduced Swing Mode Output Offset Voltage (VOS), Reduced Swing Mode 1 Pull-up. 2 Pull-down. Temp Min ...

Page 8

AD9643 SWITCHING SPECIFICATIONS Table 4. Parameter CLOCK INPUT PARAMETERS Input Clock Rate 1 Conversion Rate CLK Period—Divide-by-1 Mode (t ) CLK CLK Pulse Width High ( Divide-by-1 Mode, DCS Enabled Divide-by-1 Mode, DCS Disabled Divide-by-2 Mode Through Divide-by-8 ...

Page 9

TIMING SPECIFICATIONS Table 5. Parameter Conditions SYNC TIMING REQUIREMENTS t SYNC to the rising edge of CLK setup time SSYNC t SYNC to the rising edge of CLK hold time HSYNC SPI TIMING REQUIREMENTS t Setup time between the data ...

Page 10

AD9643 Timing Diagrams VIN CLK+ CLK– DCO– DCO+ PARALLEL INTERLEAVED D0± (LSB CHANNEL A AND . CHANNEL B D13± (MSB) CHANNEL MULTIPLEXED D0±/D1± (EVEN/ODD) MODE (LSB CHANNEL A . D12±/D13± (MSB) CHANNEL MULTIPLEXED D0±/D1± (EVEN/ODD) MODE ...

Page 11

ABSOLUTE MAXIMUM RATINGS Table 6. Parameter Electrical AVDD to AGND DRVDD to AGND VIN+A/VIN+B, VIN−A/VIN−B to AGND CLK+, CLK− to AGND SYNC to AGND VCM to AGND CSB to AGND SCLK to AGND SDIO to AGND OEB to AGND PDWN ...

Page 12

AD9643 PIN CONFIGURATIONS AND FUNCTION DESCRIPTIONS INDICATOR (LSB) D0– (LSB) D0+ Table 8. Pin Function Descriptions for Interleaved Parallel LVDS Mode Pin No. Mnemonic ADC Power Supplies 10, 19, 28, 37 DRVDD 49, 50, 53, 54, 59, 60, 63, 64 ...

Page 13

Pin No. Mnemonic 17 D4− 21 D5+ 20 D5− 23 D6+ 22 D6− 27 D7+ 26 D7− 30 D8+ 29 D8− 32 D9+ 31 D9− 34 D10+ 33 D10− 36 D11+ 35 D11− 39 D12+ 38 D12− 41 D13+ (MSB) ...

Page 14

AD9643 INDICATOR (LSB) B D0–/D1– (LSB) B D0+/D1+ DRVDD B D2–/D3– B D2+/D3+ B D4–/D5– B D4+/D5+ B D6–/D7– B D6+/D7+ Figure 5. LFCSP Channel Multiplexed (Even/Odd) LVDS Pin Configuration (Top View) Table 9. Pin Function Descriptions for Channel Multiplexed ...

Page 15

Pin No. Mnemonic 14 B D4+/D5 D6−/D7− D6+/D7 D8−/D9− D8+/D9 D10−/D11− D10+/D11 D12−/D13− (MSB D12+/D13+ (MSB D0−/D1− (LSB D0+/D1+ (LSB) ...

Page 16

AD9643 TYPICAL PERFORMANCE CHARACTERISTICS AVDD = 1.8 V, DRVDD = 1.8 V, sample rate = 250 MSPS, DCS enabled, 1.75 V p-p differential input, VIN = −1.0 dBFS, 32k sample 25°C, unless otherwise noted 170MSPS 90.1MHz ...

Page 17

SFDR (dBc) –40 IMD3 (dBc) –60 –80 SFDR (dBFS) –100 IMD3 (dBFS) –120 –90.0 –78.5 –67.0 –55.5 –44.0 INPUT AMPLITUDE (dBFS) Figure 12. AD9643-170 Two-Tone SFDR/IMD3 vs. Input Amplitude ( 184.12 187.12 MHz, f ...

Page 18

AD9643 0 210MSPS 185.1MHz @ –1dBFS –20 SNR = 70.3dB (71.3dBFS) SFDR = 86dBc –40 –60 SECOND HARMONIC THIRD HARMONIC –80 –100 –120 –140 FREQUENCY (MHz) Figure 18. AD9643-210 Single-Tone FFT with f ...

Page 19

SFDR = 88dBc (95dBFS) –40 –60 –80 –100 –120 –140 FREQUENCY (MHz) Figure 24. AD9643-210 Two-Tone FFT with f IN1 f = 210 MSPS ...

Page 20

AD9643 0 250MSPS 305.1MHz @ –1dBFS –20 SNR = 68.6dB (71.6dBFS) SFDR = 83dBc –40 –60 THIRD HARMONIC –80 –100 –120 –140 FREQUENCY (MHz) Figure 30. AD9643-250 Single-Tone FFT with f 120 ...

Page 21

SFDR = 87dBc (94dBFS) –40 –60 –80 –100 –120 –140 FREQUENCY (MHz) Figure 36. AD9643-250 Two-Tone FFT with f = 184.12, f ...

Page 22

AD9643 EQUIVALENT CIRCUITS AVDD VIN Figure 39. Equivalent Analog Input Circuit AVDD AVDD 0.9V 15kΩ 15kΩ CLK+ Figure 40. Equivalent Clock lnput Circuit DRVDD V+ V– DATAOUT– DATAOUT+ V– V+ Figure 41. Equivalent LVDS Output Circuit DRVDD 350Ω SDIO 26kΩ ...

Page 23

THEORY OF OPERATION The AD9643 has two analog input channels and two digital output channels. The intermediate frequency (IF) signal passes through several stages before appearing at the output port(s). The dual ADC design can be used for diversity reception ...

Page 24

AD9643 differential drivers provide excellent performance and a flexible interface to the ADC. The output common-mode voltage of the set with the VCM pin of the AD9643 (see Figure 47), and the driver can be configured in a Sallen-Key filter ...

Page 25

VOLTAGE REFERENCE A stable and accurate voltage reference is built into the AD9643. The full-scale input range can be adjusted by varying the reference voltage via SPI. The input span of the ADC tracks reference voltage changes linearly. CLOCK INPUT ...

Page 26

AD9643 Clock Duty Cycle Typical high speed ADCs use both clock edges to generate a variety of internal timing signals and result, may be sensitive to clock duty cycle. Commonly, a ±5% tolerance is required on the clock ...

Page 27

DIGITAL OUTPUTS The AD9643 output drivers can be configured for either ANSI LVDS or reduced drive LVDS using a 1.8 V DRVDD supply. As detailed in the AN-877 Application Note, Interfacing to High Speed ADCs via SPI, the data format ...

Page 28

AD9643 CHANNEL/CHIP SYNCHRONIZATION The AD9643 has a SYNC input that allows the user flexible synchronization options for synchronizing the internal blocks. The SYNC feature is useful for guaranteeing synchronized operation across multiple ADCs. The input clock divider can be synchronized ...

Page 29

SERIAL PORT INTERFACE (SPI) The AD9643 serial port interface (SPI) allows the user to configure the converter for specific functions or operations through a structured register space provided inside the ADC. The SPI gives the user added flexibility and customization, ...

Page 30

AD9643 SPI ACCESSIBLE FEATURES Table 13 provides a brief description of the general features that are accessible via the SPI. These features are described in detail in the AN-877 Application Note, Interfacing to High Speed ADCs via SPI. The AD9643 ...

Page 31

MEMORY MAP READING THE MEMORY MAP REGISTER TABLE Each row in the memory map register table has eight bit locations. The memory map is roughly divided into three sections: the chip configuration registers (Address 0x00 to Address 0x02); the channel ...

Page 32

AD9643 MEMORY MAP REGISTER TABLE All address and bit locations that are not included in Table 14 are not currently supported for this device. Table 14. Memory Map Registers Addr Register Bit 7 (Hex) Name (MSB) Bit 6 Chip Configuration ...

Page 33

Addr Register Bit 7 Bit 6 (Hex) Name (MSB) 0x0D Test mode User test Open (local) mode control 0 = con- tinuous/ repeat pattern 1 = single pattern, then 0s 0x0E BIST enable Open Open (local) 0x10 Open Open Offset ...

Page 34

AD9643 Addr Register Bit 7 Bit 6 (Hex) Name (MSB) 0x1F User Test Pattern 4 LSB (global) 0x20 User Test Pattern 4 MSB (global) 0x24 BIST signature LSB (local) 0x25 BIST signature MSB (local) 0x3A Sync control Open Open (global) ...

Page 35

APPLICATIONS INFORMATION DESIGN GUIDELINES Before starting system level design and layout of the AD9643 recommended that the designer become familiar with these guidelines, which discuss the special circuit connections and layout requirements needed for certain pins. Power and ...

Page 36

... SEATING PLANE ORDERING GUIDE 1 Model Temperature Range AD9643BCPZ-170 −40°C to +85°C AD9643BCPZ-210 −40°C to +85°C AD9643BCPZ-250 −40°C to +85°C AD9643BCPZRL7-170 −40°C to +85°C AD9643BCPZRL7-210 −40°C to +85°C AD9643BCPZRL7-250 −40°C to +85°C AD9643-170EBZ − ...

Related keywords