PLSM-FFT Altera, PLSM-FFT Datasheet - Page 4

no-image

PLSM-FFT

Manufacturer Part Number
PLSM-FFT
Description
Manufacturer
Altera
Datasheet

Specifications of PLSM-FFT

Lead Free Status / RoHS Status
Not Compliant
Introduction to Megafunctions
Altera
Megafunction
Partners
Program
OpenCore
Features
654
f
f
For more information on these MegaCore functions, refer to the following
sources:
The Altera Megafunction Partners Program (AMPP), an alliance between
Altera and developers of megafunctions, provides a wide variety of
complex functions that are optimized for Altera devices. This alliance
brings the advantages of additional sources of reusable designs to Altera
PLD users while providing breadth to Altera’s megafunction offering.
Over 50 megafunctions are available from AMPP partners, including a
Reed-Solomon coder/decoder (CODEC), an adaptable finite impulse
response (FIR) filter, and 8- and 16-bit microprocessors.
AMPP megafunctions are intended as “drop-in” design elements for all
design flows supported by the MAX+PLUS II development system.
Although the megafunctions are developed as stand-alone functions, they
can be integrated with other megafunctions and logic in a top-down
design methodology.
AMPP megafunctions are available in VHDL, Verilog HDL, or post-
synthesis AHDL format. These functions can be instantiated in a design
file, including schematics and HDLs. AMPP partners optimize
megafunctions to take advantage of the target device’s architectural
features, embedding the necessary place-and-route information to
preserve performance and density during design processing.
For more information on the AMPP program or a current listing of
available AMPP megafunctions, refer to the
world-wide web (WWW) site at http://www.altera.com.
Designers can preview MegaCore and AMPP functions before purchase
via the OpenCore
designers to instantiate, compile, and simulate a function to verify its size
and performance. However, programming files as well as output files for
third-party EDA tool simulation can be generated only with an
authorization code provided when the megafunction is licensed.
Designers can download MegaCore functions for OpenCore evaluation
from the Altera WWW site at http://www.altera.com; designers can
obtain AMPP megafunctions for OpenCore evaluation directly from the
AMPP partner.
megafunctions.
RGB2YCrCb & YCrCb2RGB Color Space Converters Data Sheet
crc MegaCore Function Parameterized CRC Generator/Checker Data Sheet
fft Fast Fourier Transform Data Sheet
PCI Master/Target MegaCore Function with DMA Data Sheet
Microperipheral MegaCore Function Data Book
Figure 1
feature. This pre-purchase evaluation system allows
shows the typical design flow for evaluating
AMPP Catalog
Altera Corporation
or the Altera

Related parts for PLSM-FFT